US20110204517A1 - Semiconductor Device with Vias Having More Than One Material - Google Patents

Semiconductor Device with Vias Having More Than One Material Download PDF

Info

Publication number
US20110204517A1
US20110204517A1 US12/710,399 US71039910A US2011204517A1 US 20110204517 A1 US20110204517 A1 US 20110204517A1 US 71039910 A US71039910 A US 71039910A US 2011204517 A1 US2011204517 A1 US 2011204517A1
Authority
US
United States
Prior art keywords
semiconductor die
cte
conductive material
substrate
semiconductor
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/710,399
Other languages
English (en)
Inventor
Shiqun Gu
Yiming Li
Steve J. Bezuk
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Qualcomm Inc
Original Assignee
Qualcomm Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Qualcomm Inc filed Critical Qualcomm Inc
Priority to US12/710,399 priority Critical patent/US20110204517A1/en
Assigned to QUALCOMM INCORPORATED reassignment QUALCOMM INCORPORATED ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: BEZUK, STEVE J., GU, SHIQUN, LI, YIMING
Priority to TW100106057A priority patent/TW201145486A/zh
Priority to PCT/US2011/025813 priority patent/WO2011106349A1/en
Publication of US20110204517A1 publication Critical patent/US20110204517A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/12Passive devices, e.g. 2 terminal devices
    • H01L2924/1204Optical Diode
    • H01L2924/12044OLED

Definitions

  • the present description relates, in general, to vias and, more specifically, to vias having two or more conductive materials therein.
  • TSVs Through Silicon Vias
  • TSVs are generally substantially vertical interconnects used to make electrical connections through a semiconductor.
  • TSVs can be used to couple devices within the same die or in different but adjacent (e.g., stacked) dies.
  • a via should have low resistance since it carries signals between the chips.
  • Many conventional TSVs use copper for a conductor because of its low resistance. However, the use of copper presents some challenges.
  • PVD Physical Vapor Deposition
  • CTE Coefficient of Thermal Expansion
  • silicon a common material for semiconductor substrates
  • CTE Coefficient of Thermal Expansion
  • silicon a common material for semiconductor substrates
  • the copper will bend more than silicon bends so that the copper material in a via may “pop up” affecting material above the via.
  • thermal changes in the shape of copper materials of vias have caused delamination with low-K dielectric layers, and even breaking metal lines that couple to vias.
  • delamination occurs between the copper in the vias and silicon dioxide liners in the vias.
  • FIG. 1 is an illustration of a conventional TSV 100 .
  • the TSV 100 includes copper that interfaces with the silicon 102 and with the item 101 .
  • the copper interfaces with the silicon 102 through an insulating liner 103 , such as Tetraethylorthosilicate (TEOS).
  • TEOS Tetraethylorthosilicate
  • the item 101 can include anything that is placed above the TSV 100 , such as a low-K dielectric layer, a metal line, and/or the like.
  • FIG. 1 is not drawn to scale, as a conventional TSV may be 50 to 100 microns tall and six microns in diameter, whereas a metal line on top of a TSV may be about 0.2 microns thick.
  • the TSV 100 has expanded due to thermal changes, and its expansion has affected the item 101 by pushing the item 101 away from the silicon 102 , a phenomenon referred to as “delamination.” Delamination can also occur at the interface of the silicon 102 and the copper of the TSV 100 . Furthermore, the deformation of the item 102 can result in breaking in some instances due to the steep ninety degree drop from the copper of the TSV 100 to the silicon 102 .
  • Tungsten has a lower CTE than does copper, and the CTE of tungsten is closer to that of silicon, but there is a penalty for using tungsten.
  • the resistance of tungsten is higher than that of copper.
  • CVD plasma processes there is a maximum thickness of about one micron, which can be inadequate for a six micron via.
  • a method for fabricating a via within a semiconductor die includes the step of removing semiconductor material to create a hole through a substrate of the semiconductor die. The method further includes the steps of depositing a first conductive material, having a first coefficient of thermal expansion (CTE), within the hole and depositing a second conductive material, having a second CTE, over at least a portion of the first conductive material.
  • the first CTE is between the second CTE and a CTE of the substrate of the semiconductor die.
  • a semiconductor die has a via within a substrate material of the semiconductor die.
  • the via includes first means for conducting electrical signals having a first Coefficient of Thermal Expansion (CTE) and second means for conducting electrical signals between the first conducting means and the substrate material of the semiconductor die.
  • the second conducting means has a second CTE between the first CTE and a CTE of the material of the semiconductor die.
  • FIG. 4 is an illustration of the exemplary process adapted for fabricating a TSV in a semiconductor device according to one embodiment of the disclosure.
  • FIG. 5 shows an exemplary wireless communication system in which an embodiment of the disclosure may be advantageously employed.
  • the TSV 210 includes two conductive materials.
  • One conductive material is copper 211
  • the other conductive material is a buffer metal 212 , which is disposed between the copper 211 and the substrate 201 .
  • the buffer metal 212 has a CTE between that of the substrate 201 (i.e., about 3 ppm per degree Celsius for silicon) and that of copper (i.e., 16 ppm per degree Celsius).
  • Various suitable buffer materials include, but are not limited to, tungsten (CTE of about 4.5 ppm per degree Celsius) and nickel (CTE of about thirteen ppm per degree Celsius).
  • the presence of the buffer metal 212 can provide several structural enhancements. For instance, the stress at the buffer/liner (e.g., tungsten/silicon dioxide) interface and the stress at the filler/buffer (e.g., copper/tungsten) will be reduced due to the intermediate CTE of the buffer metal 212 . Also, the pushing force applied by the TSV 210 on items above/below it can be reduced. Both enhancements are explained in more detail with respect to FIG. 3 .
  • the TSV 300 includes features that are different than features of the all-copper TSV 100 of FIG. 1 .
  • the TSV 300 includes less copper than does the TSV 100 .
  • Less copper means less thermal expansion for the TSV 300 .
  • the TSV 300 has a more gradual CTE transition from the copper 311 to the substrate 302 , with the buffer metal 312 providing an intermediate CTE between the copper 311 and the substrate 302 .
  • the more gradual transition results in a more gradual deformation of the item 301 , thereby improving mechanical reliability by reducing the incidence of breakage and delamination.
  • the lower CTE of the buffer metal 312 leads to reduced incidence of delamination between the buffer metal 312 and the via liner, e.g., silicon dioxide, and between the copper 311 and the buffer metal 312 .
  • Dies according to various embodiments may be fabricated in any of a variety of ways.
  • a technique called “via first” is performed.
  • the via first method involves forming the TSVs in a substrate before other fabrication of circuitry (e.g., transistors) occurs.
  • a pattern of vias is etched or drilled into a fraction of the depth of the base substrate.
  • the vias are then filled with a buffer metal and another conductive material, such as copper.
  • Circuit fabrication follows, which can include high-temperature processes to properly dope the semiconductor material.
  • the back side of the substrate containing the TSVs is ground down to expose the TSVs.
  • circuitry fabrication takes place before the TSVs are formed.
  • the circuitry contains interconnect pads that will be coupling points for the TSVs.
  • TSVs are created by either etching or drilling into the pad through the depth of the substrate or etching or drilling from the back side of the substrate to the pad.
  • the TSV is then filled with a buffer metal and another conductive material.
  • a via first technique the front end of the line processing is performed first, then the vias are fabricated, followed by the back end of the line processing.
  • the via last approach the front end of the line processing is performed first, then back end of the line processing is performed, then vias are fabricated through the stack.
  • Another approach is referred to as “via middle,” in which TSVs are formed after the circuitry is formed but before back end of the line processing is performed.
  • An advantage of via middle and via last techniques is that the TSVs in such techniques are not exposed to the extreme temperatures of the doping process.
  • Various embodiments are not limited to any particular method for fabricating TSVs and semiconductor devices, as any method now known or later developed to fabricate TSVs can be used.
  • a first conductive material is deposited within the hole.
  • Various techniques can be used, including PVD techniques and CVD techniques.
  • PVD techniques including PVD techniques and CVD techniques.
  • plasma CVD may be used, though the scope of embodiments is not limited to tungsten nor to any particular technique for deposition of the first conductive material.
  • a second conductive material is deposited over at least a portion of the first conductive material.
  • the second conductive material is deposited within the space on the inner surface of the first conductive material.
  • Examples of a second conductive material include, but are not limited, to copper and silver.
  • block 403 may include Electrochemical Plating (ECP) processes to deposit the copper, though the scope of embodiments is not limited to any particular process.
  • block 403 may include filling in the remainder of the via with the second conductive material.
  • the method 400 is shown as a series of discrete blocks, the disclosure is not so limited. Various embodiments may add, omit, modify, or rearrange the actions of the blocks 401 - 403 . For instance, any method for fabricating dies can be used, including, e.g., via first, via last, and via middle techniques. Furthermore, some embodiments may include integrating the semiconductor die into a chip package with another die and installing the chip package into a larger device, such as a device shown in FIG. 5 .
  • block 402 may include depositing two or more different buffer materials in the TSV.
  • Various embodiments may provide one or more advantages over conventional designs that use vias with only a single conductive material. For instance, as mentioned above, various embodiments ameliorate the temperature-induced deformation of vias, thereby reducing the incidence of delamination at interfaces and metal line cracking.
  • the barrier/seed deposition processes of conventional techniques can be omitted.
  • the buffer metal layers themselves can sometimes be used as a barrier and seed.
  • the buffer metal layer deposition can sometimes be performed by various CVD processes (depending on the particular metals used for the buffer layers), which have a lower cost and better step coverage than PVD processes for conventional designs. Improved step coverage performance can facilitate the use of smaller vias, such as those of two microns or less in diameter.
  • FIG. 5 shows an exemplary wireless communication system 500 in which an embodiment of the disclosure may be advantageously employed.
  • FIG. 5 shows three remote units 520 , 530 , and 540 and two base stations 550 , 560 .
  • the remote units 520 , 530 , and 540 include improved semiconductor processor devices 525 A, 525 B, and 525 C, respectively, which in various embodiments include vias according to the embodiments above.
  • improved semiconductor devices are also included in base stations 550 , 560 .
  • FIG. 5 shows an exemplary wireless communication system 500 in which an embodiment of the disclosure may be advantageously employed.
  • FIG. 5 shows three remote units 520 , 530 , and 540 and two base stations 550 , 560 .
  • the remote units 520 , 530 , and 540 include improved semiconductor processor devices 525 A, 525 B, and 525 C, respectively, which in various embodiments include vias according to the embodiments above.
  • improved semiconductor devices are also included in base stations 550 , 560 .
  • FIG. 5 shows the forward link signals 580 from the base stations 550 , 560 and the remote units 520 , 530 , and 540 and the reverse link signals 590 from the remote units 520 , 530 , and 540 to base stations 550 , 560 .
  • the methodologies described herein may be implemented by various components depending upon the application. For example, these methodologies may be implemented in hardware, firmware, software, or any combination thereof.
  • the processing units may be implemented within one or more application specific integrated circuits (ASICs), digital signal processors (DSPs), digital signal processing devices (DSPDs), programmable logic devices (PLDs), field programmable gate arrays (FPGAs), processors, controllers, micro-controllers, microprocessors, electronic devices, other electronic units designed to perform the functions described herein, or a combination thereof.
  • ASICs application specific integrated circuits
  • DSPs digital signal processors
  • DSPDs digital signal processing devices
  • PLDs programmable logic devices
  • FPGAs field programmable gate arrays
  • processors controllers, micro-controllers, microprocessors, electronic devices, other electronic units designed to perform the functions described herein, or a combination thereof.
  • the methodologies may be implemented with modules (e.g., procedures, functions, and so on) that perform the functions described herein.
  • Any machine-readable medium tangibly embodying instructions may be used in implementing the methodologies described herein.
  • software codes may be stored in a memory and executed by a processor unit.
  • Memory may be implemented within the processor unit or external to the processor unit.
  • the term “memory” refers to any type of long term, short term, volatile, nonvolatile, or other memory and is not to be limited to any particular type of memory or number of memories, or type of media upon which memory is stored.
  • such computer-readable media can comprise RAM, ROM, EEPROM, CD-ROM or other optical disk storage, magnetic disk storage or other magnetic storage devices, or any other medium that can be used to store desired program code in the form of instructions or data structures and that can be accessed by a computer; disk and disc, as used herein, includes compact disc (CD), laser disc, optical disc, digital versatile disc (DVD), floppy disk and blu-ray disc where disks usually reproduce data magnetically, while discs reproduce data optically with lasers. Combinations of the above should also be included within the scope of computer-readable media.

Landscapes

  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
US12/710,399 2010-02-23 2010-02-23 Semiconductor Device with Vias Having More Than One Material Abandoned US20110204517A1 (en)

Priority Applications (3)

Application Number Priority Date Filing Date Title
US12/710,399 US20110204517A1 (en) 2010-02-23 2010-02-23 Semiconductor Device with Vias Having More Than One Material
TW100106057A TW201145486A (en) 2010-02-23 2011-02-23 Semiconductor device with vias having more than one material
PCT/US2011/025813 WO2011106349A1 (en) 2010-02-23 2011-02-23 Semiconductor device with vias having more than one material

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/710,399 US20110204517A1 (en) 2010-02-23 2010-02-23 Semiconductor Device with Vias Having More Than One Material

Publications (1)

Publication Number Publication Date
US20110204517A1 true US20110204517A1 (en) 2011-08-25

Family

ID=43778187

Family Applications (1)

Application Number Title Priority Date Filing Date
US12/710,399 Abandoned US20110204517A1 (en) 2010-02-23 2010-02-23 Semiconductor Device with Vias Having More Than One Material

Country Status (3)

Country Link
US (1) US20110204517A1 (zh)
TW (1) TW201145486A (zh)
WO (1) WO2011106349A1 (zh)

Cited By (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130015504A1 (en) * 2011-07-11 2013-01-17 Chien-Li Kuo Tsv structure and method for forming the same
WO2013019541A3 (en) * 2011-07-29 2013-04-18 Tessera, Inc. Low-stress vias
DE102013204337A1 (de) * 2013-03-13 2014-09-18 Siemens Aktiengesellschaft Trägerbauteil mit einem Halbleiter-Substrat für elektronische Bauelemente und Verfahren zu dessen Herstellung
WO2015020852A1 (en) * 2013-08-05 2015-02-12 Micron Technology, Inc. Conductive interconnect structures incorporating negative thermal expansion materials and associated systems, devices, and methods
CN105814670A (zh) * 2013-12-16 2016-07-27 索尼公司 半导体元件、半导体元件的制造方法及电子装置
US9455220B2 (en) 2014-05-31 2016-09-27 Freescale Semiconductor, Inc. Apparatus and method for placing stressors on interconnects within an integrated circuit device to manage electromigration failures
US9466569B2 (en) 2014-11-12 2016-10-11 Freescale Semiconductor, Inc. Though-substrate vias (TSVs) and method therefor
US20170018492A1 (en) * 2014-03-31 2017-01-19 Toppan Printing Co., Ltd. Interposers, semiconductor devices, method for manufacturing interposers, and method for manufacturing semiconductor devices
CN107980171A (zh) * 2016-12-23 2018-05-01 苏州能讯高能半导体有限公司 半导体芯片、半导体晶圆及半导体晶圆的制造方法
US10083893B2 (en) 2014-01-30 2018-09-25 Toshiba Memory Corporation Semiconductor device and semiconductor device manufacturing method
US20200106031A1 (en) * 2018-09-28 2020-04-02 Boe Technology Group Co., Ltd. Compensation method for pixel circuit, pixel circuit, and display device
US11387168B2 (en) * 2018-03-23 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI691038B (zh) * 2018-01-30 2020-04-11 聯華電子股份有限公司 半導體裝置及其形成方法

Citations (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5814889A (en) * 1995-06-05 1998-09-29 Harris Corporation Intergrated circuit with coaxial isolation and method
US6060784A (en) * 1995-12-18 2000-05-09 Nec Corporation Interconnection layer structure in a semiconductor integrated circuit device having macro cell regions
US6271112B1 (en) * 1998-11-13 2001-08-07 Advanced Micro Devices, Inc. Interlayer between titanium nitride and high density plasma oxide
US6423201B1 (en) * 2000-08-23 2002-07-23 Applied Materials, Inc. Method of improving the adhesion of copper
US6727122B2 (en) * 2001-12-29 2004-04-27 Lg. Philips Lcd Co., Ltd. Method of fabricating polysilicon thin film transistor
US20050104219A1 (en) * 2003-09-26 2005-05-19 Kuniyasu Matsui Intermediate chip module, semiconductor device, circuit board, and electronic device
US6989282B2 (en) * 2004-04-01 2006-01-24 International Business Machines Corporation Control of liner thickness for improving thermal cycle reliability
US20060216862A1 (en) * 2003-11-13 2006-09-28 Micron Technology, Inc. Microelectronic devices, methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
US7169698B2 (en) * 2004-01-14 2007-01-30 International Business Machines Corporation Sacrificial inorganic polymer intermetal dielectric damascene wire and via liner
US7276787B2 (en) * 2003-12-05 2007-10-02 International Business Machines Corporation Silicon chip carrier with conductive through-vias and method for fabricating same
US7282444B2 (en) * 2003-12-04 2007-10-16 Rohm Co., Ltd. Semiconductor chip and manufacturing method for the same, and semiconductor device
US7316063B2 (en) * 2004-01-12 2008-01-08 Micron Technology, Inc. Methods of fabricating substrates including at least one conductive via
US7338896B2 (en) * 2004-12-17 2008-03-04 Interuniversitair Microelektronica Centrum (Imec) Formation of deep via airgaps for three dimensional wafer to wafer interconnect
US20080054444A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US7382037B2 (en) * 2004-09-29 2008-06-03 Sanyo Electric Co., Ltd. Semiconductor device with a peeling prevention layer
US20080164573A1 (en) * 2007-01-05 2008-07-10 Basker Veeraraghaven S Methods for fabricating silicon carriers with conductive through-vias with low stress and low defect density
US7402515B2 (en) * 2005-06-28 2008-07-22 Intel Corporation Method of forming through-silicon vias with stress buffer collars and resulting devices
US7488679B2 (en) * 2006-07-31 2009-02-10 International Business Machines Corporation Interconnect structure and process of making the same
US7683458B2 (en) * 2004-09-02 2010-03-23 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7745920B2 (en) * 2008-06-10 2010-06-29 Micron Technology, Inc. Packaged microelectronic devices and methods for manufacturing packaged microelectronic devices
US7772123B2 (en) * 2008-06-06 2010-08-10 Infineon Technologies Ag Through substrate via semiconductor components

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7060601B2 (en) * 2003-12-17 2006-06-13 Tru-Si Technologies, Inc. Packaging substrates for integrated circuits and soldering methods
US7221034B2 (en) * 2004-02-27 2007-05-22 Infineon Technologies Ag Semiconductor structure including vias
EP2286449A1 (en) * 2008-05-30 2011-02-23 Nxp B.V. Thermo-mechanical stress in semiconductor wafers
JP2010010324A (ja) * 2008-06-26 2010-01-14 Toshiba Corp 半導体装置及び半導体装置の製造方法

Patent Citations (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5814889A (en) * 1995-06-05 1998-09-29 Harris Corporation Intergrated circuit with coaxial isolation and method
US6060784A (en) * 1995-12-18 2000-05-09 Nec Corporation Interconnection layer structure in a semiconductor integrated circuit device having macro cell regions
US6271112B1 (en) * 1998-11-13 2001-08-07 Advanced Micro Devices, Inc. Interlayer between titanium nitride and high density plasma oxide
US6423201B1 (en) * 2000-08-23 2002-07-23 Applied Materials, Inc. Method of improving the adhesion of copper
US6727122B2 (en) * 2001-12-29 2004-04-27 Lg. Philips Lcd Co., Ltd. Method of fabricating polysilicon thin film transistor
US20050104219A1 (en) * 2003-09-26 2005-05-19 Kuniyasu Matsui Intermediate chip module, semiconductor device, circuit board, and electronic device
US7759800B2 (en) * 2003-11-13 2010-07-20 Micron Technology, Inc. Microelectronics devices, having vias, and packaged microelectronic devices having vias
US20060216862A1 (en) * 2003-11-13 2006-09-28 Micron Technology, Inc. Microelectronic devices, methods for forming vias in microelectronic devices, and methods for packaging microelectronic devices
US7282444B2 (en) * 2003-12-04 2007-10-16 Rohm Co., Ltd. Semiconductor chip and manufacturing method for the same, and semiconductor device
US7276787B2 (en) * 2003-12-05 2007-10-02 International Business Machines Corporation Silicon chip carrier with conductive through-vias and method for fabricating same
US7316063B2 (en) * 2004-01-12 2008-01-08 Micron Technology, Inc. Methods of fabricating substrates including at least one conductive via
US7169698B2 (en) * 2004-01-14 2007-01-30 International Business Machines Corporation Sacrificial inorganic polymer intermetal dielectric damascene wire and via liner
US6989282B2 (en) * 2004-04-01 2006-01-24 International Business Machines Corporation Control of liner thickness for improving thermal cycle reliability
US7683458B2 (en) * 2004-09-02 2010-03-23 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7382037B2 (en) * 2004-09-29 2008-06-03 Sanyo Electric Co., Ltd. Semiconductor device with a peeling prevention layer
US7338896B2 (en) * 2004-12-17 2008-03-04 Interuniversitair Microelektronica Centrum (Imec) Formation of deep via airgaps for three dimensional wafer to wafer interconnect
US7402515B2 (en) * 2005-06-28 2008-07-22 Intel Corporation Method of forming through-silicon vias with stress buffer collars and resulting devices
US7488679B2 (en) * 2006-07-31 2009-02-10 International Business Machines Corporation Interconnect structure and process of making the same
US20080054444A1 (en) * 2006-08-31 2008-03-06 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US20110151621A1 (en) * 2006-08-31 2011-06-23 Micron Technology, Inc. Microfeature workpieces having interconnects and conductive backplanes, and associated systems and methods
US20080164573A1 (en) * 2007-01-05 2008-07-10 Basker Veeraraghaven S Methods for fabricating silicon carriers with conductive through-vias with low stress and low defect density
US7772123B2 (en) * 2008-06-06 2010-08-10 Infineon Technologies Ag Through substrate via semiconductor components
US7745920B2 (en) * 2008-06-10 2010-06-29 Micron Technology, Inc. Packaged microelectronic devices and methods for manufacturing packaged microelectronic devices

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Selvanayagam, et al. "Nonlinear Thermal Stress/Strain Analysis of Copper Filled TSV and Their Flip-Chip Microbumps", IEEE, 2008 Electronic Components and Technology Conference, pp. 1073-1081 *

Cited By (27)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130015504A1 (en) * 2011-07-11 2013-01-17 Chien-Li Kuo Tsv structure and method for forming the same
US9659858B2 (en) 2011-07-29 2017-05-23 Tessera, Inc. Low-stress vias
US9214425B2 (en) 2011-07-29 2015-12-15 Tessera, Inc. Low-stress vias
US10283449B2 (en) 2011-07-29 2019-05-07 Tessera, Inc. Low stress vias
US8816505B2 (en) 2011-07-29 2014-08-26 Tessera, Inc. Low stress vias
WO2013019541A3 (en) * 2011-07-29 2013-04-18 Tessera, Inc. Low-stress vias
DE102013204337A1 (de) * 2013-03-13 2014-09-18 Siemens Aktiengesellschaft Trägerbauteil mit einem Halbleiter-Substrat für elektronische Bauelemente und Verfahren zu dessen Herstellung
US10546777B2 (en) 2013-08-05 2020-01-28 Micron Technology, Inc. Conductive interconnect structures incorporating negative thermal expansion materials and associated systems, devices, and methods
US9922875B2 (en) 2013-08-05 2018-03-20 Micron Technology, Inc. Conductive interconnect structures incorporating negative thermal expansion materials and associated systems, devices, and methods
WO2015020852A1 (en) * 2013-08-05 2015-02-12 Micron Technology, Inc. Conductive interconnect structures incorporating negative thermal expansion materials and associated systems, devices, and methods
US11011420B2 (en) 2013-08-05 2021-05-18 Micron Technology, Inc. Conductive interconnect structures incorporating negative thermal expansion materials and associated systems, devices, and methods
CN105612610A (zh) * 2013-08-05 2016-05-25 美光科技公司 结合负热膨胀材料的导电互连结构及相关系统、装置及方法
US9099442B2 (en) 2013-08-05 2015-08-04 Micron Technology, Inc. Conductive interconnect structures incorporating negative thermal expansion materials and associated systems, devices, and methods
TWI618675B (zh) * 2013-08-05 2018-03-21 美光科技公司 包含負熱膨脹材料之導電互連結構及相關系統、裝置及方法
US9754825B2 (en) 2013-08-05 2017-09-05 Micron Technology, Inc. Conductive interconnect structures incorporating negative thermal expansion materials and associated systems, devices, and methods
CN105814670A (zh) * 2013-12-16 2016-07-27 索尼公司 半导体元件、半导体元件的制造方法及电子装置
US10083893B2 (en) 2014-01-30 2018-09-25 Toshiba Memory Corporation Semiconductor device and semiconductor device manufacturing method
US10056322B2 (en) * 2014-03-31 2018-08-21 Toppan Printing Co., Ltd. Interposers, semiconductor devices, method for manufacturing interposers, and method for manufacturing semiconductor devices
TWI670803B (zh) * 2014-03-31 2019-09-01 日商凸版印刷股份有限公司 中介層、半導體裝置、中介層的製造方法及半導體裝置的製造方法
US20170018492A1 (en) * 2014-03-31 2017-01-19 Toppan Printing Co., Ltd. Interposers, semiconductor devices, method for manufacturing interposers, and method for manufacturing semiconductor devices
US10014257B2 (en) 2014-05-31 2018-07-03 Nxp Usa, Inc. Apparatus and method for placing stressors within an integrated circuit device to manage electromigration failures
US9455220B2 (en) 2014-05-31 2016-09-27 Freescale Semiconductor, Inc. Apparatus and method for placing stressors on interconnects within an integrated circuit device to manage electromigration failures
US9466569B2 (en) 2014-11-12 2016-10-11 Freescale Semiconductor, Inc. Though-substrate vias (TSVs) and method therefor
CN107980171A (zh) * 2016-12-23 2018-05-01 苏州能讯高能半导体有限公司 半导体芯片、半导体晶圆及半导体晶圆的制造方法
US11387168B2 (en) * 2018-03-23 2022-07-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices
US10886482B2 (en) * 2018-09-28 2021-01-05 Boe Technology Group Co., Ltd. Display device having stress buffer layered vias
US20200106031A1 (en) * 2018-09-28 2020-04-02 Boe Technology Group Co., Ltd. Compensation method for pixel circuit, pixel circuit, and display device

Also Published As

Publication number Publication date
WO2011106349A1 (en) 2011-09-01
TW201145486A (en) 2011-12-16

Similar Documents

Publication Publication Date Title
US20110204517A1 (en) Semiconductor Device with Vias Having More Than One Material
US8779559B2 (en) Structure and method for strain-relieved TSV
US9824973B2 (en) Integrated circuit devices having through-silicon via structures and methods of manufacturing the same
US8525342B2 (en) Dual-side interconnected CMOS for stacked integrated circuits
CN103918068B (zh) 用于穿过低k布线层来图案化穿板通孔的低k介电保护分隔物
US8581414B2 (en) Method of manufacturing three-dimensional integrated circuit and three-dimensional integrated circuit apparatus
JP6548377B2 (ja) 集積回路素子及びその製造方法
Lin et al. High density 3D integration using CMOS foundry technologies for 28 nm node and beyond
JP2018528622A (ja) 導電性バリアのダイレクトハイブリッドボンディング
US8841755B2 (en) Through silicon via and method of forming the same
US10847442B2 (en) Interconnect assemblies with through-silicon vias and stress-relief features
JP2015079961A (ja) Tsv構造を具備した集積回路素子及びその製造方法
KR101195271B1 (ko) 반도체 장치 및 그 제조방법
US8227351B2 (en) Fabrication of magnetic tunnel junction (MTJ) devices with reduced surface roughness for magnetic random access memory (MRAM)
US20110012239A1 (en) Barrier Layer On Polymer Passivation For Integrated Circuit Packaging
WO2022132274A1 (en) Hermetic sealing structures in microelectronic assemblies having direct bonding
JP2022533104A (ja) ハイブリッド接合構造およびハイブリッド接合方法
EP2572372B1 (en) Process for improving package warpage and connection reliability through use of a backside mold configuration (bsmc)
CN104992910A (zh) 一种金属突刺混合键合方法
TW202232660A (zh) 半導體裝置、半導體結構及其製造方法
CN109166821B (zh) 阻挡层的形成方法、三维集成器件的形成方法以及晶圆
TW202243146A (zh) 晶片封裝結構及其形成方法
US7172961B2 (en) Method of fabricating an interconnect structure having reduced internal stress
Caswell et al. Predicting the reliability of zero-level TSVs

Legal Events

Date Code Title Description
AS Assignment

Owner name: QUALCOMM INCORPORATED, CALIFORNIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:GU, SHIQUN;LI, YIMING;BEZUK, STEVE J.;REEL/FRAME:023974/0529

Effective date: 20100216

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION