TW202232660A - 半導體裝置、半導體結構及其製造方法 - Google Patents

半導體裝置、半導體結構及其製造方法 Download PDF

Info

Publication number
TW202232660A
TW202232660A TW110148034A TW110148034A TW202232660A TW 202232660 A TW202232660 A TW 202232660A TW 110148034 A TW110148034 A TW 110148034A TW 110148034 A TW110148034 A TW 110148034A TW 202232660 A TW202232660 A TW 202232660A
Authority
TW
Taiwan
Prior art keywords
guard ring
end process
semiconductor substrate
dimension
semiconductor
Prior art date
Application number
TW110148034A
Other languages
English (en)
Other versions
TWI789173B (zh
Inventor
顧旻峰
莊曜群
林景彬
李政鍵
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202232660A publication Critical patent/TW202232660A/zh
Application granted granted Critical
Publication of TWI789173B publication Critical patent/TWI789173B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/564Details not otherwise provided for, e.g. protection against moisture

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Bipolar Transistors (AREA)

Abstract

本揭露提供一種半導體結構,其包含半導體基材、在半導體基材上的後端製程(BEOL)配線部、矽通孔及保護環。半導體基材係由半導體材料所形成。後端製程配線部包含具有導電配線及電絕緣材料的複數個配線層。矽通孔提供穿過後端製程配線部及半導體基材的導電通路。保護環包圍矽通孔,其中矽通孔係在後端製程配線部內,而且在一些實施例中,矽通孔係在半導體基材內。

Description

具有矽通孔、保護環的特定裝置及其製造方法
本揭露是關於矽通孔及保護環的領域。
目前對於包含智慧型手機、平板、桌上型電腦、筆記型電腦及其他類型電子裝置的電子裝置之計算能力有持續的需求。積體電路提供前述電子裝置的計算能力。一種增加積體電路之計算能力的方法是增加可包含於半導體基材之預設區域內的電晶體及其他積體電路特徵的數目。
半導體裝置或晶片(以下說明書簡稱為「晶片」)可由半導體基材及後端製程 (back end of the line,BEOL)配線所組成,其中所有前端製程(front end of the line,FEOL)一般都已在半導體基材中完成,以形成半導體電晶體、電容器等,且多個配線層係在後端製程配線形成以連接在半導體基材內的各種電晶體、電容等。後端製程配線亦可具有輸入/輸出(I/O)襯墊,其係用以連接晶片與下一層的封裝,例如印刷電路板或陶瓷基板。半導體基材係由半導體材料所形成,而後端製程配線係由用來接線的金屬材料及用來絕緣的介電材料所形成。
目前的半導體晶片可具有矽通孔(through silicon via,TSV),其係部分或完全地延伸穿過半導體基材及後端製程配線。這樣的矽通孔可被用來例如連接二個晶片,其係藉由堆疊一者在另一者之頂部上。在一些情況下,矽通孔完全地穿過半導體基材。矽通孔可具有先穿孔(via-first)矽通孔、中穿孔(via-middle) 矽通孔或後穿孔(via-last)矽通孔的特徵,其中先穿孔矽通孔係在個別裝置形成之前所形成,中穿孔矽通孔係在個別裝置形成之後但在後端製程層形成之前所形成,而後穿孔矽通孔則在後端製程層形成之後或期間所形成。
在下述說明書中,厚度及材料會用來描述積體電路晶粒中的各種層及結構。特定的尺寸及材料係提供為各種實施例的例示。本領域中具有通常知識者應理解根據本揭露,其他尺寸及材料可用於許多例示中,而不偏離本揭露的範圍。
以下揭露提供許多不同實施例或例示,以實施所述主要態樣的不同特徵。以下敘述之組件和配置方式的特定例示是為了簡化本揭露。這些當然僅是做為例示,其目的不在構成限制。舉例而言,第一特徵形成在第二特徵之上或上方的描述包含第一特徵和第二特徵有直接接觸的實施例,也包含有其他特徵形成在第一特徵和第二特徵之間,以致第一特徵和第二特徵沒有直接接觸的實施例。除此之外,本揭露在各種具體例中重覆元件符號及/或字母。此重覆的目的是為了使說明簡化且清晰,並不表示各種討論的實施例及/或配置之間有關係。
再者,空間相對性用語,例如「下方(beneath)」、「在…之下(below)」、「低於(lower)」、「在…之上(above)」、「高於(upper)」等,是為了易於描述圖式中所繪示的零件或特徵和其他零件或特徵的關係。空間相對性用語除了圖式中所描繪的方向外,還包含元件在使用或操作時的不同方向。裝置可以其他方式定向(旋轉90度或在其他方向),而本揭露所用的空間相對性描述也可以如此解讀。
再者,關係用語「連接至(connected to)」、「相鄰於(adjacent to)」、「耦接至(coupled to)」等相似者係可用於本文以描述直接及非直接的關係。「直接地(directly)」連接、相鄰或連接可表示沒有介於中間的元件、裝置或結構的關係。「非直接地(indirectly)」連接、相鄰或連接可表示有介於中間的元件、裝置或結構的關係。
如本揭露所使用的「大約(around)」、「約(about)」、「近乎 (approximately)」或「實質上(substantially)」一般係代表在所述之數值或範圍的百分之20以內、或百分之10以內、或百分之5以內。本文所述之數量值係近似值,表示即使未明確指出,仍可推斷用語「大約(around)」、「約(about)」、「近乎 (approximately)」或「實質上(substantially)」。
「鉛直方向」及「水平方向」應理解為指示相對的方向。意即,水平方向係理為與鉛直方向實質垂直,且反之亦然。然而,在本揭露的範圍內,所述實施例及態樣可整體地旋轉,以使其被當作鉛直方向的維度係導向水平,且同時,被當作水平方向的維度係導向鉛直。
在以下敘述中,闡述特定細節係用以對本揭露之各種實施例有透徹的了解。然而,本領域中具有通常知識者可理解本揭露可在不具這些特定細節下被實施。在其他例示中,關於電子元件的已知結構及製程技術並未被詳細說明,以避免不必要地模糊本揭露實施例的說明。
除非上下文另有需求,在以下說明書及申請專利範圍中,用語「包含(comprise)」及其變化,例如「包含(comprises)」及「包含(comprising)係被解釋成開放、包含之意,亦即「包含但不限於」。
順序的使用,例如「第一」、「第二」及「第三」並非表示排名的順序意義,而僅是用以區隔各種例示的操作或結構。
參照此說明書中的「一種實施例(one embodiment)」或「一實施例(an embodiment)」代表特別的特徵、結構或特性係與包含於至少一實施例中的實施例相關。因此,用於本說明書各處中的用語「在一些實施例中(in some embodiment)」或「在一實施例中(in an embodiment)」並非全部用於指示相同的實施例。再者,特別的特徵、結構或特性可與一或多個實施例中的任何合適的態樣結合。
如用於說明書及申請專利範圍中者,單詞「一(a)」、「一(an)」及「該(the)」包含複數個參考物,除非內容中有另外明確地指示。亦應注意的是,用語「或(or)」一般使用的意義係包含「及/或(and/or)」,除非內容中有另外明確地指示。
根據本揭露之實施例的各種優點及目的係如上述及後續的說明,其係藉由根據所揭露之實施例的第一態樣所提供的半導體結構,其包含包括半導體材料的半導體基材。半導體結構進一步包含在半導體基材上的後端製程(back end of the line,BEOL)配線部。後端製程配線部包含具有導電材料(例如金屬層)及絕緣材料的複數個配線層。矽通孔係在後端製程配線部內及在半導體基材內。半導體結構包含環繞在後端製程配線部內之矽通孔的保護環,保護環包含複數個保護環元件,每一個前述保護環元件包含第一部分及第二部分。每一個前述保護環元件之第一部分包含相隔距離W a的第一表面及第二表面,相對於第二表面,第一表面較靠近矽通孔。每一個前述保護環元件之第二部分包含相隔距離W b的第一表面及第二表面,相對於第二部分的第二表面,第二部分的第一表面較靠近矽通孔。根據一些揭露的實施例,W a係與W b不同,且複數個保護環元件之一者的第一表面係與複數個保護環元件之另一者的第一表面共平面。
根據說明書揭露的第二態樣,提供一種半導體結構的製造方法,其係包含提供包含半導體材料之半導體基材的步驟。方法更包含形成後端製程配線部的步驟,後端製程配線部包含複數個導電(例如金屬)層、絕緣材料及保護環。保護環包含複數個保護環元件。複數個保護環元件之每一者包含第一部分及第二部分。複數個保護環元件之每一者的第一部分包含相隔距離W a的第一表面及第二表面,相對於第二表面,第一表面較靠近矽通孔。複數個保護環元件之每一者的第二部分包含相隔距離W b的第一表面及第二表面,相對於第二部分的第二表面,第二部分的第一表面較靠近矽通孔。根據一些實施例,W a係與W b不同,且複數個保護環元件之一者的第一表面係與複數個保護環元件之另一者的第一表面共平面。所揭露的方法更包含形成被保護環圍繞的矽通孔開口在後端製程配線部及半導體基材內。根據本揭露的一些實施例,方法包含金屬化矽通孔開口。
根據說明書揭露的第三態樣,提供一種半導體裝置,其係包含包括半導體材料的半導體基材。半導體裝置包含在半導體基材上的後端製程配線部,後端製程配線部包含複數個導電(例如金屬)層及絕緣材料。半導體裝置亦包含在半導體基材及後端製程配線部內的矽通孔,在半導體基材內的矽通孔具有相鄰於後端製程配線部的尺寸D b及在半導體基材內與半導體基材之表面相鄰的尺寸D c,其中前述半導體基材之表面係相對於與後端製程配線部相鄰之表面。在一些實施例中,D b係大於D c。裝置更包含環繞在後端製程配線部內之矽通孔的保護環。保護環包含複數個保護環元件。複數個保護環元件之每一者包含第一部分及第二部分。複數個保護環元件之每一者的第一部分包含相隔距離W a的第一表面及第二表面,相對於第二表面,第一表面較靠近矽通孔。複數個保護環元件之每一者的第二部分包含相隔距離W b的第一表面及第二表面,相對於第二部分的第二表面,第二部分的第一表面較靠近矽通孔。在一些實施例中,W a係與W b不同。
請參閱更詳細的圖式,圖1A及圖1B係繪示形成矽通孔的方法。請先參閱圖1A,半導體晶片10包含半導體基材12及後端製程配線14。須理解的是,僅一部分的半導體晶片10繪示於圖1A及圖1B。半導體基材12已經過前端製程(front end of the line,FEOL),以形成各種獨立裝置(例如電晶體、電容器等)在半導體基材12內。為了簡潔,前述獨立裝置並未繪示。後端製程配線14包含多層(圖未繪示)導電材料,例如在絕緣介電材料中的金屬線。後端製程配線14的確切細節係本領域具有通常知識者所知,故在此不繪示或說明。亦如圖1A所示者為矽通孔開口16,其係被蝕刻穿過後端製程配線14及半導體基材12。
請參閱圖1B,絕緣材料18可接著被沉積在矽通孔開口16的壁上。在用來沉積絕緣材料18的一製程中,使用臭氧(O 3)/四乙氧基矽烷(tetraethyl orthosilicate,TEOS)。使用臭氧/四乙氧基矽烷形成絕緣材料18的副產物是水的生成,其可轉移至後端製程配線14的介電材料中,如圖1B的箭頭20所指示。水對於後端製程配線14的絕緣材料是有害的,且它對於形成後端製程之導電特徵的材料是有害的。舉例而言,後端製程的導電特徵包含的特徵係包含阻障層(例如TiN、TaN等)及導電金屬(例如銅或鋁)。當在形成絕緣材料18過程中產生的水轉移至後端製程配線14的介電材料中時,其會促使後端製程配線特徵的阻障層氧化。前述氧化會弱化阻障層,而使後端製程配線的導電材料(例如銅或鋁)擴散穿過阻障層並形成金屬結核(metal nodules)在後端製程配線14的絕緣介電材料內。這些金屬結核最後會合併並形成在絕緣介電材料中的後端製程配線特徵之間不想要的導電通路。舉例而言,當後端製程配線特徵係被施加偏壓,例如在測試或一般操作時,後端製程金屬可轉移穿過弱化的阻障層並形成金屬結核。
請繼續參閱圖1B,矽通孔開口16係被金屬化,其係藉由利用電鍍技術沉積金屬材料(例如銅或鋁)至矽通孔開口16。矽通孔開口16亦可包含襯層,例如TiN或Ta/TaN。
請參閱圖2A至圖2D,其係繪示本揭露一實施例。請先參閱圖2A,半導體晶片110包含半導體基材112及在半導體基材112之一側上的後端製程配線114。額外的配線層111係存在半導體基材112的相對側上且包含複數個導電特徵111a、導電特徵111b及導電特徵111c。為了簡潔,半導體基材112並未以剖面繪示,故在半導體基材112中的結構或裝置係不可視的;然而,此結構或裝置係存在半導體基材112內且係以框115來表示。前述結構或裝置包含例如電晶體的半導體結構。應理解的是,僅一部分的半導體晶片110係繪示於圖2A至圖2D。一些合適的半導體基材112之材料包含但不限於IV族半導體(例如矽、矽鍺或鍺)、III-V族化合物半導體或II-VI族化合物半導體。後端製程配線114的鍍金屬通常是銅但也可為其他導電金屬,例如鋁。後端製程配線114的介電絕緣材料可為任何合適的材料,例如二氧化矽、氮化矽或SiCOH(含有矽、碳、氧及氫的化合物)。
半導體基材112已經過前端製程,以形成各種獨立裝置(例如電晶體、電容器等)在半導體基材112內。為了簡潔,前述獨立裝置並未繪示。後端製程配線114包含多層(圖未繪示)在絕緣介電材料中的金屬線。後端製程配線114的確切細節係本領域具有通常知識者所知,故在此不繪示或說明。亦如圖2A所示者為保護環130,其在一些實施例中可與形成後端製程配線114的獨立配線層及在不同金屬配線層之間的內連接或通孔在相同順序及相同時間下形成。保護環可或可不電性連接後端製程配線114的鍍金屬。當保護環130係與形成後端製程配線114的獨立配線層及其間的通孔在相同順序及相同時間下形成時,保護環包含被稱為131a至131j的許多片段或部分。前述片段131a至131j之每一者包含上方較寬的部分131u,其係在與形成後端製程配線的層相同製程時所形成,以及下方較窄的部分131n,其係在與形成相鄰後端製程配線層之間的層相同的製程時所形成。保護環130的形成會在以下進行更詳細的說明。在本揭露所述實施例的一實施例中,保護環130是導電材料的環或圍柵,例如包圍矽通孔將形成之區域的金屬材料。在說明實施例中,保護環130延伸後端製程配線114的至少整個垂直長度。
圖2B所示為矽通孔開口116,其係利用介電或導體蝕刻技術(例如氣相電漿蝕刻)而被蝕刻穿過後端製程配線114。如圖2C所示,矽通孔開口116延伸至額外的配線層111之部分中,並終止在導電特徵111b。所使用之蝕刻製程可為同向性乾式蝕刻製程,例如波希製程(Bosch process)。由於使用同向性蝕刻製程(與異向性蝕刻製程相反),橫向地並垂直地延伸的凹陷係形成。一般而言,波希製程包含導入第一氣體,其蝕刻基材直到達到凹陷所要的深度,在此時停止第一氣體,並導入第二氣體以沿著側壁之表面創造保護性襯墊。當第一氣體重新導入,第一氣體移除沿者凹陷底部的保護性襯墊(留下沿著側壁的保護性襯墊)並繼續沿著凹陷底部蝕刻基材。重複導入第一氣體及第二氣體的製程,直到完成預設的深度。
舉例而言,蝕刻氣體[例如六氟化硫(SF 6)]可做為蝕刻劑。可重複上述的製程任意次數,以創造具有預設深度的開口。在形成具有預設深的開口之後,開口可被填充導電材料,以形成在圖2E中的矽通孔122。絕緣層(圖2D中的絕緣層118)係沿著開口的側壁形成,來創造擴散障壁,以防止導電材料(例如銅)擴散至基材112及/或一或多個介電層(例如額外的配線層111的介電材料)。絕緣層118可藉由例如物理氣相沉積(physical vapor deposition,PVD)、化學氣相沉積(chemical vapor deposition,CVD)、原子層沉積(atomic layer deposition,ALD)、旋塗沉積(spin-on deposition)或其他合適的方法。在絕緣層118形成之後,利用導電材料以形成矽通孔122。舉例而言,導電材料可為銅、鎢、鋁、銀及其組合等。在一實施例中,晶種層(圖未繪示)係形成在絕緣層118上,且利用電沉積製程來填充開口,然而也可使用其他合適的製程,例如無電沉積、電鍍或化學氣相沉積。製程可包含過度填充開口及利用例如化學機械研磨(chemical mechanical polishing,CMP)、蝕刻、其組合等製程來移除在矽通孔開口外的多餘導電材料。
在圖2C中,矽通孔開口116已延伸穿過半導體基材112,其係利用蝕刻製程,例如電漿蝕刻。圖2C中的矽通孔開口116係繪示為已延伸穿過半導體基材112且至額外的配線層111,在另一些實施例中,矽通孔開口不延伸穿過半導體基材112,但延伸穿過半導體基材112之部分至圖2C中所繪示之在半導體基材112之相對側部分的金屬特徵。矽通孔開口116的位置係選擇為在保護環130內。當矽通孔開口116係繪示為被完全蝕刻穿過後端製程配線114及半導體基材112時,僅被蝕刻為部分地穿過後端製程配線114及/或部分地穿過半導體基材112的矽通孔開口116仍是在本揭露的範圍內。
在本揭露一些實施例中,最佳如圖2C所示,延伸矽通孔開口116穿過半導體基材112的製程不移除半導體基材112之部分,其係靠近半導體基材112及後端製程配線114之間的界面。半導體基材112之剩餘部分被當作是突出物117。在不受理論限制下,突出物117可能是半導體基材112之部分被後端製程配線114的絕緣介電材料之部分所屏蔽,而免於蝕刻材料的結果,其蝕刻材料係用以蝕刻半導體基材112,以延伸矽通孔開口116,而後端製程配線114的絕緣介電材料之部分係在矽通孔開口116形成在後端製程配線114內之後所剩下。剩下部分最佳是繪示在圖2B中且係位於形成在後端製程配線114之介電絕緣材料內的矽通孔開口116的底部。根據本揭露一些實施例,進行第二蝕刻步驟係為了移除突出物117及產生更平坦的矽通孔開口116壁面。在一實施例中,利用與用來延伸矽通孔開口116至半導體基材112之相同類型的蝕刻製程來移除突出物117。在另一些實施例中,利用與用來延伸矽通孔開口116至半導體基材112之不同類型的蝕刻製程來移除突出物117。為了減少半導體基材112之材料內的應力集中,突出物117的移除是必要的。
請參閱圖2D,圖2D中的矽通孔開口116之頂部(相鄰於後端製程配線114之頂部)包含尺寸D a,其代表矽通孔開口116之左側壁119a及右側壁119b之間的橫向距離。在一些實施例中,D a在1.5微米至3微米的範圍內。矽通孔開口116之底部(相鄰於半導體基材112之底部)包含尺寸D c,其代表矽通孔開口116之左側壁119a及右側壁119b之間的橫向距離。D c係小於D a。相鄰於後端製程配線114及半導體基材112之間的界面,矽通孔開口116包含尺寸D b,其代表矽通孔開口116之左側壁119a及右側壁119b之間的橫向距離。D b係小於D a且大於D c。根據本揭露之一些實施例,當D b大於D c時,表示突出物117符合要求的移除。如圖2C所示,D b小於D c係未完成突出物117符合要求的移除的跡象。如上所述,由於突出物117存在時會有應力集中,故突出物117不符合要求的移除是不樂見的。
在成功的移除突出物117之後,如上所述,絕緣材料118(例如氧化物或低k介電材料)係形成在矽通孔開口116之壁上。絕緣材料係用來電性隔離導電材料與矽基材。所用之特定絕緣材料及其厚度會影響矽通孔的效能,例如其電容及漏電流。在沉積絕緣材料118的一製程中,使用臭氧/四乙氧基矽烷。在一些實施例中,絕緣材料118之厚度為約1微米。如上所述,形成絕緣材料的副產物是水的生成,其會轉移至後端製程配線114的介電材料中。如上所述,水對後端製程配線114的絕緣材料係有害的,且它會對形成後端製程配線之導電特徵的材料有害。舉例而言,後端製程的導電特徵包含的特徵係包含阻障層(例如TiN、TaN等)及至少部分被阻障層包圍的 導電金屬(例如銅或鋁)。如上所述,當在形成絕緣材料18過程中生成的水轉移至後端製程配線114的介電材料中時,其會促使後端製程配線特徵的阻障層氧化。前述氧化會弱化阻障層,而使後端製程配線的導電材料(例如銅或鋁)擴散穿過阻障層並形成金屬結核在後端製程配線114的絕緣介電材料內。這些金屬結核最後會合併並形成在絕緣介電材料中的後端製程配線特徵之間不想要的導電通路。根據本揭露一些實施例,保護環130阻擋在絕緣材料118形成過程中生成的水流過保護環130,其水會破壞後端製程配線特徵的阻障層。
接著,矽通孔開口116被金屬化係藉由沉積金屬材料122(例如銅或鋁)至矽通口開口116,而形成如圖2E所示的結構。矽通孔開口116也可包含絕緣層或襯層,例如四乙氧基矽烷、鈦、氮化鈦、鉭或氮化鉭,其係未繪示於圖2E。襯層之厚度為約10 nm至約100 nm。若矽通孔開口116之壁未被襯層完整覆蓋,這會使得鍍金屬(特別是銅)擴散至後端製程配線114的配線層中。然而,保護環130的存在防止鍍金屬122進入後端製程配線114的配線層之功能部分。在本揭露之另一實施例中,襯層可省略,因為鍍金屬122至後端製程配線114之功能部分中的任何轉移可藉由保護環130來預防。
如圖2E所示,金屬化的矽通孔開口116可延伸穿過所有的後端製程配線114及半導體基材112,如圖2E所示。在本揭露之另一些實施例中,金屬化矽通孔開口116可僅延伸部分穿過後端製程配線114及/或半導體基材112。
須注意的是,金屬化矽通孔開口116可連續地延伸穿過後端製程配線114及半導體基材112。所謂「連續地」係表示金屬化矽通孔開口116自後端製程配線114或其相近的表面128以直線通道延伸穿過半導體晶片110至半導體基材112或其相近的表面132,而沒有任何向側邊的凹凸部。
在圖2F的實施例中,頂部金屬層134已形成在後端製程配線114之表面128、保護環130之上表面及矽通孔開口116之鍍金屬122之上表面的部分上。頂部金屬層134的形成包含形成絕緣介電材料在後端製程配線113之表面128、保護環130之上表面及矽通孔開口116之鍍金屬122之上表面上、圖案化前述形成的絕緣介電材料及形成額外的鍍金屬在保護環130矽通孔開口116內之鍍金屬122上的被圖案化之絕緣介電材料內的步驟。雖然未繪示於圖2F中,絕緣層或鈍化層(例如氧化層及氮化層的組合,舉例而言,氧化層與TEOS、Ti、TiN、Ta或TaN的組合)分離頂部金屬層134的特徵與形成後端製程配線114的介電材料。
在圖2F中,頂部金屬層134包含部分135,其寬度W T實質等於保護環130之直徑Dg(在圖2G中)。部分135延伸在矽通孔開口116內的鍍金屬122之上表面上,且延伸在保護環130及矽通孔開口116內之鍍金屬之間的介電材料之上表面上。雖然部分135係電性連接鍍金屬122至保護環130,如上所述之一些實施例中,保護環130係電性不活動結構。在另一些實施例中,如圖7所示,頂部金屬層134包含部分135,其寬度W T實質等於矽通孔開口116內的鍍金屬122之直徑D a(在圖2G中)。頂部金屬層134也包含在保護環130上的部分135a,其與部分135係被介電材料所分開。由於前述結構,保護環130係與鍍金屬122電性隔離。請參閱圖8,在另一實施例中,頂部金屬層134包含部分135,其寬度W T大於保護環130之直徑Dg(在圖2G中)。根據本揭露之一些實施例,(如下參閱圖2G所述之)Dg與W T的比例範圍係介於約1:0.5至約1:2。在另一些實施例中,Dg與W T的比例範圍係介於約1:0.75至約1:1.5。當Dg與W T的比例落到低於前述範圍時,由於金屬層134之部分135較大的相對尺寸,在包含後端製程配線之層內的矽通孔數目的密度就會減少。當Dg與W T的比例係高於前述範圍時,由於保護環較大的相對尺寸,在包含後端製程配線之層內的矽通孔數目的密度就會減少。
圖2G係繪示矽通孔122及保護環130的上視圖。在圖2G中,複數個金屬線125及複數個虛擬金屬結構123係存在以提供後端製程內所要的金屬密度。前述所要的金屬密度可保護非金屬特徵免於酸蝕刻,且可藉由做為平坦步驟中止來優化不同的後端製程層的平面度。矽通孔122係以下述的直徑D a為特徵。W a是以下參閱圖3所述之保護環元件131a之配線部分156的寬度。矽通孔122係藉由在保護環130、虛擬金屬結構123及金屬線125之間的絕緣材料127之環而與保護環130分離。環127之寬度W r及W a的比例範圍是介於約1:1至約1:1.5。W r之範圍是介於約0.3微米至約0.5微米。當環127之寬度W r及W a的比例係落在低於1:1.1時,自矽通孔122至保護環130的漏電流之可能性增加。當環127之寬度W r及W a的比例係大於1:1.5時,由於在矽通孔122及保護環130之間較大比例的介電材料,後端製程配線的密度會減少。保護環130是與虛擬金屬結構123及金屬線125藉由介電質129電性隔離。D a與W r的比例範圍是介於約3:1至約7:1。當D a與W r的比例係低於約3:1時,矽通孔的效能可能被負面地影響。當D a與W r的比例係高於約7:1時,自矽通孔122的漏電流之可能性增加。
請參閱圖3,其係繪示保護環130之二元件131a及131b之部分的放大視圖。在圖3的實施例中,保護環元件131a及保護環元件131b係相同的。因此,以下僅說明保護環元件131a。保護環元件131a的描述亦適用於保護環元件131b。保護環元件131a包含第一部分150及第二部分152。在圖3繪示的實施例中,第一部分150是矩形形狀且第二部分152也是矩形形狀。第一部分150是比第二部分152更小的矩形。在第二部分152內的虛線154是分開保護環元件131a之上部分156及保護環元件131a之下部分158的假想線。根據本揭露之一些實施例,形成上部分156所利用的半導體製程係與形成後端製程配線114之配線層相同。形成下部分158所利用的製程係與形成後端製程配線114之二層之間的通孔相同。上部分156在下述可被當作保護環元件131a之配線部分,而下部分158在下述可被當作保護環元件131a之通孔部分。上部分156包含第一表面160及第二表面162,其相隔的距離係標示為W a。W a是保護環131a之配線部分156的寬度。在圖3所繪示的實施例中,第一表面160與矽通孔開口116內的鍍金屬122之間的距離係小於第二表面162與矽通孔開口116內的鍍金屬122之間的距離。下部分158包含第一表面164及第二表面166,其相隔的距離係標示為W b。W b是保護環131a之通孔部分158的寬度。在圖3所繪示的實施例中,第一表面164與矽通孔開口116內的鍍金屬122之間的距離係大於第二表面166與矽通孔開口116內的鍍金屬122之間的距離。在圖3所繪示的實施例中,保護環元件131a包含平行於第一表面160及第一表面164的尺寸H b。在圖3中,尺寸H b延伸至保護環元件131a之上表面168及保護環元件131a之下表面170之間。在圖3所繪示的實施例中,一些保護環元件131a至保護環元件131j的尺寸H b係不同的。以圖3為例,保護環元件131j的H b係大於其他保護環元件(例如保護環元件131a至保護環元件131h)的H b。舉例而言,保護環元件131a的H b可與保護環元件131b的H a不同。以圖3中保護環元件131a及保護環元件131b性質相同的觀點而言,以上特徵150、特徵152、特徵154、特徵156、特徵158、特徵160、特徵162、特徵164、特徵166、特徵168及特徵170的描述亦適用於保護環元件131b,以及保護環元件131c至保護環元件131j。以保護環元件131a及保護環元件131b性質相同的觀點而言,保護環元件131a之尺寸W a、W b及H b的描述亦適用於保護環元件131b。在一些實施例中,保護環元件131a至保護環元件131j都具有相同的尺寸。在另一些實施例中,保護環元件131a至保護環元件131j都具有不同的尺寸。在再一些實施例中,保護環元件131a至保護環元件131j之其中一些具有相同的尺寸,而保護環元件131a至保護環元件131j之其中一些具有不同的尺寸。舉例而言,保護環元件131a的W a係不同於保護環元件131b至保護環元件131j其中之一或多者的W a,而其餘的保護環元件具有相同的W a。舉例而言,保護環元件131a的W b係不同於保護環元件131b至保護環元件131j其中之一或多者的W b,而其餘的保護環元件具有相同的W b。雖然圖3的實施例繪示10個保護環元件,在本揭露之另一些實施例中,後端製程配線114包含多於10個保護環元件,而在另一些實施例中,後端製程配線114包含少於10個保護環元件。
根據本揭露之一些實施例,W a與W b的比例係介於約1.8及約1.1。在另一些實施例中,W a與W b的比例係介於約1.6及約1.2。當W a與W b的比例落在高於1.8時,保護環元件131之配線部分156變得太靠近相鄰的後端製程配線140之配線元件,並增加後端製程配線特徵及配線部分156之間或相鄰後端製程配線之間不想要的電子通路形成的可能性。當W a與W b的比例落在低於1.1時,保護環元件131在保護後端製程配線免於在矽通孔形成過程中可能產生的水的功能上可能無效。在一些實施例中,W a之範圍為0.15微米至0.5微米。在另一些實施例中,W a之範圍為0.2微米至0.4微米。在一些實施例中,W b之範圍為0.1微米至0.4微米。在另一些實施例中,W b之範圍為0.1微米至0.3微米。
根據本揭露之實施例,保護環元件131a之第一表面160及保護環元件131b之第一表面164係共平面。在本揭露之另一些實施例中,保護環元件131a之第一表面160與第一表面164及保護環元件131b之第一表面160與第一表面164係共平面。在再一些實施例中,一或多個保護環元件的第一表面160及/或第一表面164其中之一者是與一或多個其他保護環元件的第一表面160及/或第一表面164其中之一者共平面。本揭露之實施例具有共平面的第一表面160及/或第一表面164的保護環元件較不易在上述圖2C及圖2D中所述之蝕刻步驟過程中被破壞。當保護環元件具有不共平面的內表面時,蝕刻矽通孔之開口的蝕刻也蝕刻保護環元件的第一表面160及/或第一表面164的可能性會增加。
保護環130可形成為不同的形狀,以符合半導體設計的需求。圖4A至圖4E係圖3之半導體晶片110在箭頭3-3方向上的剖面圖。在圖4A至圖4E中,保護環元件131j之配線部分156具有上述尺寸W a的頂表面係可視的;然而,具有尺寸W b的通孔部分158係在配線部分156下方且不可視。矽通孔開口116具有上述尺寸D a。保護環130A具有上述尺寸D g。請參閱圖4A,可看出保護環130A之剖面為矩形。在圖4B中,保護環130A之剖面為正方形,而在圖4C中,保護環130A之剖面為圓形。圖4D繪示一實施例,其中保護環130A之剖面為八角形。圖4E繪示一實施例,其中保護環130A之剖面為六角形。如圖4A至圖4E所示,金屬化矽通孔開口116可為實心,且在另一些實施例中,矽通孔開口116之中心可為中空。圖4A至圖4E僅是保護環剖面的具體例,其無意作為限制。在圖4B、圖4C、圖4D及圖4E中,矽通孔及內圓周或保護環130B之表面之間的空間係圖2G中的尺寸W r
保護環130A及金屬化矽通孔開口116的尺寸係取決於半導體晶片的設計需求。在一實施例之一具體例中,僅是做為說明的目的而無意構成限制,金屬化矽通孔開口116之外徑為約1 微米至約3微米。在一些實施例中,保護環130A(圖4B)之外徑為約2微米至約4微米。在一些實施例中,保護環130A之內徑為約1.5微米至約3微米。在一些實施例中,在保護環元件131c及通孔116之相對表面164或相對表面160之間的距離為約0.2微米至0.5微米。當保護環元件131c係圓形,此造成在保護環內的開口,其具有約2.5微米至約3.5微米的直徑。在一些實施例中,W a與保護環元件131c之內徑的比例為約1至約1.5。當W a與保護環元件131c之內徑的比例係小於約1,保護環元件131c在隔離後端製程配線與在矽通孔形成過程中可能產生的水的功能上可能無效。當W a與保護環元件131c之內徑的比例係大於約1.5,保護環元件131c之配線部分變得太靠近相鄰的後端製程配線140之配線元件,並增加相鄰後端製程配線之間不想要的電子通路形成的可能性。在一些實施例中,W b與保護環元件131c之內徑的比例係稍微大於1。當W b與保護環元件131c之內徑的比例小於1時,保護環元件131c在隔離後端製程配線與在矽通孔形成過程中可能產生的水的功能上可能無效。
接著,形成具有矽通孔及保護環之半導體物件的方法500係參閱圖5及圖6A至圖6E進行說明。在方法的第一個步驟中,提供半導體基材112,在圖5的框40及圖6A中。換言之,準備的半導體晶圓係具備所有必要的半導體裝置,例如電晶體、電容器等。應理解的是,圖6A中僅繪示半導體晶圓之部分。接著,形成包含鍍金屬140及介電材料142的第一後端製程配線層114A,如框42及圖6B所示。須注意的是,後端製程配線層114A包含保護環部分144,其可或可不在後端製程配線114內進行電子功能。後端製程配線層114A的形成包含通孔部分140a及配線部分140b的形成。當形成通孔部分140a及配線部分140b時,形成通孔部分144a及配線部分144b或保護環144。前述特徵及後續的後端製程配線層及保護環部分係使用習知方法來形成。形成額外的後端製程配線層,直到形成預設數目的後端製程配線層,以滿足半導體晶片的設計需求,如圖5的框44及圖6C所示。如圖6C所示,形成一個額外的後端製程配線層114C,但實際上,通常會有更多的後端製程配線層,如圖6C至圖6E中的虛線所標示。須注意的是,每一個後端製程配線層的保護環部分144係堆疊在前一個後端製程配線層之保護環部分144的頂部上。舉例而言,各種保護環部分144形成如上所述之正方形、矩形、圓形或其他形狀。在保護環部分144內的開放區域146是矽通孔開口116形成處。如圖5的框46及圖6D所示,矽通孔開口116係形成在開放區域146內(及保護環部分144中),而絕緣層118係形成在矽通孔開口116之表面上。最後,矽通孔開口116係被金屬化為具有選擇性襯層124及鍍金屬122,如圖5的框48及圖6E所示。半導體製程可接著依習知方法進行。
在一實施例中,本揭露描述一種半導體結構,其係包含包括半導體材料的半導體基材。半導體結構進一步包含在半導體基材上的後端製程配線部。後端製程配線部包含具有金屬層及絕緣材料的複數個配線層。矽通孔係在後端製程配線部內及在半導體基材內。半導體結構包含環繞在後端製程配線部內之矽通孔的保護環,保護環包含複數個保護環元件,前述複數個保護環元件之每一者包含第一部分及第二部分。前述複數個保護環元件之每一者之第一部分包含相隔距離W a的第一表面及第二表面,相對於第二表面,第一表面較靠近矽通孔。前述複數個保護環元件之每一者之第二部分包含相隔距離W b的第一表面及第二表面,相對於第二部分的第二表面,第二部分的第一表面較靠近矽通孔。根據一些揭露的實施例,W a係與W b不同,且複數個保護環元件之一者的第一表面係與複數個保護環元件之另一者的第一表面共平面。
在上述實施例中,W a係大於W b。在上述實施例中,W a係比W b多出10%至80%。在上述實施例中,上述保護環元件之一或多者係電性連接後端製程配線部的導電特徵。在上述實施例中,第一保護環元件具有尺寸H a,尺寸H a平行於第一保護環元件的第一表面,第二保護環元件具有尺寸H b,尺寸H b平行於第二保護環元件的第一表面,且H a不同於H b。在上述實施例中,上述保護環包含導電材料。
根據所揭露實施例之第二態樣,提供一種半導體結構的製造方法,其係包含提供包含半導體材料之半導體基材的步驟。方法更包含形成後端製程配線部的步驟,後端製程配線部包含複數個金屬層、絕緣材料及保護環。保護環包含複數個保護環元件。複數個保護環元件之每一者包含第一部分及第二部分。複數個保護環元件之每一者的第一部分包含相隔距離W a的第一表面及第二表面,相對於第二表面,第一表面較靠近矽通孔。複數個保護環元件之每一者的第二部分包含相隔距離W b的第一表面及第二表面,相對於第二部分的第二表面,第二部分的第一表面較靠近矽通孔。根據一些實施例,W a係與W b不同,且複數個保護環元件之一者的第一表面係與複數個保護環元件之另一者的第一表面共平面。所揭露的方法更包含形成被保護環圍繞的矽通孔在後端製程配線部及半導體基材內。根據本揭露的一些實施例,方法包含金屬化矽通孔開口。
在上述態樣中,上述形成矽通孔之操作包含自後端製程配線部移除絕緣材料;進行第一移除步驟,以移除半導體基材之半導體材料的部分;以及進行第二移除步驟,以移除半導體基材之半導體材料的剩餘部分。在上述態樣中,上述形成矽通孔之操作更包含形成導電矽通孔在後端製程配線部及半導體基材內。在上述態樣中,在半導體基材內的矽通孔具有鄰接後端製程配線部的尺寸D b及鄰接半導體基材之表面的尺寸D c,其中半導體基材之表面係相對於與後端製程線部相鄰之表面,且D b大於D c。在上述態樣中,上述後端製程配線部的導電層之至少一者及保護環之至少一部分係同時形成。在上述態樣中,第一保護環元件具有尺寸H a,尺寸H a平行於第一保護環元件的第一表面,第二保護環元件具有尺寸H b,尺寸H b平行於第二保護環元件的第一表面,且H a不同於H b。在上述態樣中,W a大於W b
根據一些所述實施例的第三態樣,提供一種半導體裝置,其係包含包括半導體材料的半導體基材。半導體裝置包含在半導體基材上的後端製程配線部,後端製程配線部包含複數個金屬層及絕緣材料。半導體裝置亦包含在半導體基材及後端製程配線部內的矽通孔,在半導體基材內的矽通孔具有相鄰於後端製程配線部的尺寸D b及在半導體基材內與半導體基材之表面相鄰的尺寸D c,其中前述半導體基材之表面係相對於與後端製程配線部相鄰之表面。在一些實施例中,D b係大於D c。裝置更包含環繞在後端製程配線部內之矽通孔的保護環。複數個保護環元件之每一者包含第一部分及第二部分。複數個保護環元件之每一者的第一部分包含相隔距離W a的第一表面及第二表面,相對於第二表面,第一表面較靠近矽通孔。複數個保護環元件之每一者的第二部分包含相隔距離W b的第一表面及第二表面,相對於第二部分的第二表面,第二部分的第一表面較靠近矽通孔。在一些實施例中,W a係與W b不同。
在上述態樣中,複數個保護環元件之一者的第一表面係與複數個保護環元件之另一者的第一表面共平面。在上述態樣中,保護環包含銅或鋁。在上述態樣中,W a大於W b。在上述態樣中,保護環元件之一或多者係電性連接後端製程配線部的導電特徵。在上述態樣中,第一保護環元件具有尺寸H a,尺寸H a平行於第一保護環元件的第一表面,第二保護環元件具有尺寸H b,尺寸H b平行於第二保護環元件的第一表面,且H a不同於H b。在上述態樣中,上述保護環元件之三者或更多者的第一表面係彼此共平面。
上述各種實施例可結合以提供更多實施例。若必要,實施例之態樣可被修飾,以運用各種專利、應用及發表的概念,進而提供更多實施例。
基於上述詳細說明,可對實施例進行上述及其他的變化。一般而言,在以下申請專利範圍中,所使用的用語不應解釋成以說明書及申請專利範圍內所揭露之特定實施例來限制申請專利範圍,而應在此申請專利範圍所包含完整均等範圍內解釋為包含所有可能的實施例。因此,申請專利範圍不受本揭露所限制。
10:半導體晶片 12:半導體基材 14:後端製程配線 16:矽通孔開口 18:絕緣材料 20:箭頭 110:半導體晶片 111:配線層 111a,111b,111c:導電特徵 112:半導體基材 114:後端製程配線 114A,114C:後端製程配線層 115:框 116:矽通孔開口 117:突出物 118:絕緣材料 119a:左側壁 119b:右側壁 122:矽通孔/鍍金屬 123:虛擬金屬結構 124:襯層 125:金屬線 127:環 128:表面 129:介電質 130:保護環 130A:保護環 131a,131b,131c,131d,131e,131f,131g,131h,131i,131j:保護環元件 131u,131n:部分 132:表面 134:頂部金屬層 135:部分 140:鍍金屬 140a:通孔部分 140b:配線部分 142:介電材料 144:保護環部分 144a:通孔部分 144b:配線部分 146:開放區域 150:第一部分 152:第二部分 154:虛線 156:上部分/配線部分 158:下部分 160:第一表面 162:第二表面 164:第一表面 166:第二表面 168:上表面 170:下表面 40,42,44,46,48:框 500:方法 BEOL:後端製程配線 TSV:矽通孔 D a,D b,D c:尺寸 Dg:直徑 H a,H b:尺寸 W a,W b,W r,W T:寬度 3-3:箭頭
根據以下詳細說明並配合附圖閱讀,使本揭露的態樣獲致較佳的理解。需注意的是,如同業界的標準作法,許多特徵並不是按照比例繪示的。事實上,為了進行清楚討論,許多特徵的尺寸可以經過任意縮放。 [圖1A]至[圖1B]係繪示矽通孔形成在半導體晶片內之方法的剖面視圖。 [圖2A]至[圖2F]係繪示根據本揭露一些實施例之形成矽通孔及頂層鍍金屬之方法的剖面視圖。 [圖2G]係繪示根據本揭露一些實施例之矽通孔及保護環的頂部示意圖。 [圖3]係繪示根據本揭露一些實施例之與圖2D類似並具有二個保護環元件的剖面視圖。 [圖4A]至[圖4E]係根據所述實施例之保護環在繪示本揭露各種實施例之圖3中的箭頭3-3的方向上的剖面視圖。 [圖5]係繪示根據本揭露一實施例之方法的流程圖。 [圖6A]至[圖6E]係繪示根據本揭露一實施例之方法的剖面視圖。 [圖7]係繪示根據本揭露一些實施例之矽通孔及保護環的剖面視圖。 [圖8]係繪示根據本揭露一些實施例之矽通孔及保護環的剖面視圖。
國內寄存資訊(請依寄存機構、日期、號碼順序註記) 無 國外寄存資訊(請依寄存國家、機構、日期、號碼順序註記) 無
131a,131b:保護環元件
150:第一部分
152:第二部分
154:虛線
156:上部分/配線部分
158:下部分
160:第一表面
162:第二表面
164:第一表面
166:第二表面
168:上表面
170:下表面
BEOL:後端製程配線
TSV:矽通孔
Da,Db,Dc:尺寸
Ha,Hb:尺寸
Wa,Wb:寬度
3-3:箭頭

Claims (20)

  1. 一種半導體結構,包含: 一半導體基材,其中該半導體基材包含一半導體材料; 一後端製程(back end of line,BEOL)配線部,在該半導體基材上,其中該後端製程配線部包含複數個導電層及一絕緣材料; 一矽通孔(through silicon via,TSV),在該半導體基材內及在該後端製程配線部內;以及 一保護環,環繞該後端製程配線部內的該矽通孔,其中該保護環包含複數個保護環元件,每一該些保護環元件包含一第一部分及一第二部分, 每一該些保護環元件的該第一部分包含相隔一距離W a的一第一表面及一第二表面,相較於該第二表面,該第一表面較靠近該矽通孔, 每一該些保護環元件的該第二部分包含相隔一距離W b的一第一表面及一第二表面,相較於該第二部分的該第二表面,該第二部分的該第一表面較靠近該矽通孔,該距離W a不同於該距離W b,且 該些保護環元件之一者的該第一表面係與該些保護環元件之另一者的該第一表面共平面。
  2. 如請求項1所述之半導體結構,其中該距離W a大於該距離W b
  3. 如請求項1所述之半導體結構,其中該距離W a係比該距離W b多出10%至80%。
  4. 如請求項1所述之半導體結構,其中該些保護環元件之一或多者係電性連接該後端製程配線部的複數個導電特徵。
  5. 如請求項1所述之半導體結構,其中一第一保護環元件具有一尺寸H a,該尺寸H a平行於該第一保護環元件的該第一表面,一第二保護環元件具有一尺寸H b,該尺寸H b平行於該第二保護環元件的該第一表面,且該尺寸H a不同於該尺寸H b
  6. 如請求項1所述之半導體結構,其中該保護環包含一導電材料。
  7. 一種半導體結構的製造方法,包含: 提供一半導體基材,其中該半導體基材包含一半導體材料; 形成一後端製程配線部,該後端製程配線部包含複數個導電層、一絕緣材料及一保護環,該保護環包含複數個保護環元件每一該些保護環元件包含一第一部分及一第二部分, 每一該些保護環元件的該第一部分包含相隔一距離W a的一第一表面及一第二表面,相較於該第二表面,該第一表面較靠近該矽通孔, 每一該些保護環元件的該第二部分包含相隔一距離W b的一第一表面及一第二表面,相較於該第二部分的該第二表面,該第二部分的該第一表面較靠近該矽通孔,該距離W a不同於該距離W b, 且該些保護環元件之一者的該第一表面係與該些保護環元件之另一者的該第一表面共平面;以及 形成一矽通孔在該半導體基材內,且在該後端製程配線部內,其中該矽通孔被該保護環所環繞。
  8. 如請求項7所述之半導體結構的製造方法,其中該形成該矽通孔之操作包含: 自該後端製程配線部移除該絕緣材料; 進行一第一移除步驟,以移除該半導體基材之該半導體材料的一部分;以及 進行一第二移除步驟,以移除該半導體基材之該半導體材料的一剩餘部分。
  9. 如請求項8所述之半導體結構的製造方法,其中該形成該矽通孔之操作更包含: 形成一導電矽通孔在該後端製程配線部及該半導體基材內。
  10. 如請求項9所述之半導體結構的製造方法,其中在該半導體基材內的該矽通孔具有一尺寸D b及一尺寸D c,其中該尺寸D b係鄰接該後端製程配線部,該尺寸D c係鄰接該半導體基材之一表面,該半導體基材之該表面係相對於與該後端製程線部相鄰之一表面,且該尺寸D b大於該尺寸D c
  11. 如請求項7所述之半導體結構的製造方法,其中該後端製程配線部的該些導電層之至少一者及該保護環之至少一部分係同時形成。
  12. 如請求項8所述之半導體結構的製造方法,其中一第一保護環元件具有一尺寸H a,該尺寸H a平行於該第一保護環元件的該第一表面,一第二保護環元件具有一尺寸H b,該尺寸H b平行於該第二保護環元件的該第一表面,且該尺寸H a不同於該尺寸H b
  13. 如請求項7所述之半導體結構的製造方法,其中該距離W a大於該距離W b
  14. 一種半導體裝置,包含: 一半導體基材,其中該半導體基材包含一半導體材料; 一後端製程配線部,在該半導體基材上,其中該後端製程配線部包含複數個導電層及一絕緣材料; 一矽通孔,在該半導體基材內且在該後端製程配線部內,其中在該半導體基材內的該矽通孔具有一尺寸D b及一尺寸D c,該尺寸D b係鄰接該後端製程配線部,該尺寸D c係鄰接該半導體基材之一表面,該半導體基材之該表面係相對於與該後端製程線部相鄰之一表面,且該尺寸D b大於該尺寸D c;以及 一保護環,環繞在該後端製程配線部內的該矽通孔,其中該保護環包含複數個保護環元件,每一該些保護環元件包含一第一部分及一第二部分, 每一該些保護環元件的該第一部分包含相隔一距離W a的一第一表面及一第二表面,相較於該第二表面,該第一表面較靠近該矽通孔, 每一該些保護環元件的該第二部分包含相隔一距離W b的一第一表面及一第二表面,相較於該第二部分的該第二表面,該第二部分的該第一表面較靠近該矽通孔,且該距離W a不同於該距離W b
  15. 如請求項14所述之半導體裝置,其中該些保護環元件之一者的該第一表面係與該些保護環元件之另一者的該第一表面共平面。
  16. 如請求項14所述之半導體裝置,其中該保護環包含銅或鋁。
  17. 如請求項14所述之半導體裝置,其中該距離W a大於該距離W b
  18. 如請求項14所述之半導體裝置,其中該些保護環元件之一或多者係電性連接該後端製程配線部的複數個導電特徵。
  19. 如請求項14所述之半導體裝置,其中一第一保護環元件具有一尺寸H a,該尺寸H a平行於該第一保護環元件的該第一表面,一第二保護環元件具有一尺寸H b,該尺寸H b平行於該第二保護環元件的該第一表面,且該尺寸H a不同於該尺寸H b
  20. 如請求項14所述之半導體裝置,其中該些保護環元件之三者或更多者的該第一表面係彼此共平面。
TW110148034A 2021-02-10 2021-12-22 半導體裝置、半導體結構及其製造方法 TWI789173B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163148079P 2021-02-10 2021-02-10
US63/148,079 2021-02-10
US17/481,003 US20220254739A1 (en) 2021-02-10 2021-09-21 Specificatdevices with through silicon vias, guard rings and methods of making the same
US17/481,003 2021-09-21

Publications (2)

Publication Number Publication Date
TW202232660A true TW202232660A (zh) 2022-08-16
TWI789173B TWI789173B (zh) 2023-01-01

Family

ID=81993555

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110148034A TWI789173B (zh) 2021-02-10 2021-12-22 半導體裝置、半導體結構及其製造方法

Country Status (5)

Country Link
US (1) US20220254739A1 (zh)
KR (1) KR20220115542A (zh)
CN (1) CN114649258A (zh)
DE (1) DE102022100366A1 (zh)
TW (1) TWI789173B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220384414A1 (en) * 2021-05-28 2022-12-01 Taiwan Semiconductor Manufacturing Company Ltd. Layout design for header cell in 3d integrated circuits

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9536829B2 (en) * 2014-09-11 2017-01-03 Internatonal Business Machines Corporation Programmable electrical fuse in keep out zone
US20160148863A1 (en) * 2014-11-21 2016-05-26 International Business Machines Corporation Non-contiguous dummy structure surrounding through-substrate via near integrated circuit wires
US11508619B2 (en) * 2018-01-26 2022-11-22 Agency For Science, Technology And Research Electrical connection structure and method of forming the same

Also Published As

Publication number Publication date
TWI789173B (zh) 2023-01-01
DE102022100366A1 (de) 2022-08-11
CN114649258A (zh) 2022-06-21
KR20220115542A (ko) 2022-08-17
US20220254739A1 (en) 2022-08-11

Similar Documents

Publication Publication Date Title
US10629568B2 (en) Stacked integrated circuits with redistribution lines
US10290580B2 (en) Hybrid copper structure for advance interconnect usage
US9847256B2 (en) Methods for forming a device having a capped through-substrate via structure
US8836085B2 (en) Cost-effective TSV formation
CN101771020B (zh) 具有圆齿状侧壁的穿透硅通孔
TWI503981B (zh) 半導體裝置
TWI427739B (zh) 矽穿孔的形成方法
US8791011B2 (en) Through-silicon via structure formation process
US10062603B2 (en) Air-gap scheme for BEOL process
JP6244474B2 (ja) スルー基板ビアおよび前側構造を製造するためのデバイス、システムおよび方法
US20110193197A1 (en) Structure and method for making crack stop for 3d integrated circuits
US9984926B2 (en) Solution for TSV substrate leakage
US20180301416A1 (en) Copper etching integration scheme
US9666529B2 (en) Method and structure to reduce the electric field in semiconductor wiring interconnects
US8367553B2 (en) Method for manufacturing through-silicon via
TWI812168B (zh) 三維元件結構及其形成方法
JP2022520481A (ja) 新規なシリコン貫通コンタクト構造およびそれを形成する方法
TWI793501B (zh) 積體晶片結構及其形成方法
US20190013270A1 (en) Semiconductor device and manufacturing method thereof
US11380638B2 (en) Semiconductor device including bonding pads and method of manufacturing the same
CN108183087B (zh) 用于形成应力降低装置的方法
US11791242B2 (en) Semiconductor device
TWI789173B (zh) 半導體裝置、半導體結構及其製造方法
TWI758122B (zh) 半導體結構及其形成方法
US11127784B2 (en) Integrated circuits with embedded memory structures and methods for fabricating the same