KR20220115542A - 실리콘 관통 비아, 가드 링을 갖는 소자 및 그 제조 방법 - Google Patents

실리콘 관통 비아, 가드 링을 갖는 소자 및 그 제조 방법 Download PDF

Info

Publication number
KR20220115542A
KR20220115542A KR1020220017546A KR20220017546A KR20220115542A KR 20220115542 A KR20220115542 A KR 20220115542A KR 1020220017546 A KR1020220017546 A KR 1020220017546A KR 20220017546 A KR20220017546 A KR 20220017546A KR 20220115542 A KR20220115542 A KR 20220115542A
Authority
KR
South Korea
Prior art keywords
guard ring
silicon via
semiconductor substrate
back end
beol
Prior art date
Application number
KR1020220017546A
Other languages
English (en)
Inventor
민펑 쿠
야오춘 추앙
칭핀 린
청치엔 리
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20220115542A publication Critical patent/KR20220115542A/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/58Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries
    • H01L23/585Structural electrical arrangements for semiconductor devices not otherwise provided for, e.g. in combination with batteries comprising conductive layers or plates or strips or rods or rings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/564Details not otherwise provided for, e.g. protection against moisture

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Bipolar Transistors (AREA)

Abstract

반도체 물품은 반도체 기판, 반도체 기판 상의 백 엔드 오브 라인(back end of the line; BEOL) 배선 부분, 실리콘 관통 비아, 및 가드 링을 포함한다. 반도체 기판은 반도체 물질로 이루어진다. BEOL 배선 부분은 전기 전도성 배선을 갖는 복수의 배선층들 및 전기 절연 물질을 포함한다. 실리콘 관통 비아는 BEOL 배선 부분과 반도체 기판을 관통하는 도전성 경로를 제공한다. 가드 링은 BEOL 배선 부분 내에서 그리고 일부 실시예들에서는 반도체 기판 내에서 실리콘 관통 비아를 둘러싼다.

Description

실리콘 관통 비아, 가드 링을 갖는 소자 및 그 제조 방법{DEVICES WITH THROUGH SILICON VIAS, GUARD RINGS AND METHODS OF MAKING THE SAME}
본 개시는 실리콘 관통 비아와 가드 링 분야에 관한 것이다.
스마트폰, 태블릿, 데스크탑 컴퓨터, 랩탑 컴퓨터, 및 기타 많은 종류의 전자 디바이스들을 포함하는 전자 디바이스들에서 연산력을 증가시키기 위한 지속적인 요구가 있어 왔다. 집적 회로는 이러한 전자 디바이스들에 연산력을 제공한다. 집적 회로에서 연산력을 증가시키는 한가지 방법은 반도체 기판의 주어진 영역에 대해 포함될 수 있는 트랜지스터들 및 기타 집적 회로 피처들의 수를 증가시키는 것이다.
반도체 소자들 또는 칩들(이하 단순히 "칩"이라고 칭함)은 반도체 기판으로 구성될 수 있고, 이 반도체 기판에서는 일반적으로 반도체 트랜지스터들, 커패시터들 등을 형성하기 위해 모든 프론트 엔드 오브 라인(front end of the line; FEOL) 처리가 행해지고, 반도체 기판에서 다양한 트랜지스터들, 커패시터들 등을 연결하기 위해 여러 개의 배선층들이 형성되는 백 엔드 오브 라인(back end of the line; BEOL) 배선이 형성된다. BEOL 배선은 또한 칩을 인쇄 회로 기판 또는 세라믹 기판과 같은 다음 레벨의 패키징에 연결하기 위한 입력/출력(I/O) 패드를 가질 수 있다. 반도체 기판은 반도체 물질로 제조되는 반면, BEOL 배선은 배선용 금속성 물질과 절연용 유전체 물질로 제조된다.
현재의 반도체 칩은 반도체 기판과 BEOL 배선을 부분적으로 또는 완전히 관통하여 연장되는 실리콘 관통 비아(through silicon via; TSV)를 가질 수 있다. 이러한 실리콘 관통 비아는 예를 들어, 두 개의 칩을 위아래로 적층함으로써 이들을 연결하는 데 사용될 수 있다. 일부 상황에서는, TSV가 반도체 기판을 완전히 관통한다. TSV는 개별 소자들이 형성되기 전에 형성되는 비아-퍼스트 TSV, 개별 소자들이 형성된 후에 하지만 BEOL 층들이 형성되기 전에 형성되는 비아-미들 TSV, 또는 BEOL 층들의 형성 후에 또는 그 동안에 형성되는 비아-라스트 TSV로서 특징지어질 수 있다.
일 실시예에서, 본 개시는 반도체 물질을 포함하는 반도체 기판을 포함하는 반도체 구조물을 설명한다. 반도체 구조물은 반도체 기판 상의 백 엔드 오브 라인(BEOL) 배선 부분을 더 포함한다. 백 엔드 오브 라인 배선 부분은 금속층들을 갖는 복수의 배선층들 및 절연 물질을 포함한다. 백 엔드 오브 라인 배선 부분 내에 그리고 반도체 기판 내에 실리콘 관통 비아가 존재한다. 반도체 구조물은 백 엔드 오브 라인 배선 부분 내에서 실리콘 관통 비아를 둘러싸는 가드 링을 포함하고, 가드 링은 복수의 가드 링 엘리먼트들을 포함하고, 복수의 가드 링 엘리먼트들 각각은 상부 섹션과 하부 섹션을 포함한다. 복수의 가드 링 엘리먼트들 각각의 상부 섹션은 거리(Wa)만큼 이격되어 있는 제1 표면과 제2 표면을 포함하고, 제1 표면은 실리콘 관통 비아에 제2 표면보다 더 가까이에 있다. 복수의 가드 링 엘리먼트들 각각의 하부 섹션은 거리(Wb)만큼 이격되어 있는 제1 표면과 제2 표면을 포함하고, 하부 섹션의 제1 표면은 실리콘 관통 비아에 하부 섹션의 제2 표면보다 더 가까이에 있다. 일부 개시된 실시예들에 따르면, Wa는 Wb와 상이하고, 복수의 가드 링 엘리먼트들 중 하나의 가드 링 엘리먼트의 제1 표면은 복수의 가드 링 엘리먼트들 중 다른 하나의 가드 링 엘리먼트의 제1 표면과 동일 평면에 있다.
본 명세서에서 개시된 실시예들의 제2 양태에 따르면, 반도체 물질을 포함하는 반도체 기판을 제공하는 단계를 포함하는 반도체 구조물을 형성하는 방법이 제공된다. 방법은 백 엔드 오브 라인(BEOL) 배선 부분을 형성하는 단계를 더 포함하고, 백 엔드 오브 라인 배선 부분은 복수의 금속층들, 절연 물질 및 가드 링을 포함한다. 가드 링은 복수의 가드 링 엘리먼트들을 포함한다. 복수의 가드 링 엘리먼트들 각각은 상부 섹션과 하부 섹션을 포함한다. 복수의 가드 링 엘리먼트들 각각의 상부 섹션은 거리(Wa)만큼 이격되어 있는 제1 표면과 제2 표면을 포함하고, 제1 표면은 실리콘 관통 비아에 제2 표면보다 더 가까이에 있다. 복수의 가드 링 엘리먼트들 각각의 하부 섹션은 거리(Wb)만큼 이격되어 있는 제1 표면과 제2 표면을 포함하고, 하부 섹션의 제1 표면은 실리콘 관통 비아에 하부 섹션의 제2 표면보다 더 가까이에 있다. 일부 실시예들에 따르면, Wa는 Wb와 상이하고, 복수의 가드 링 엘리먼트들 중 하나의 가드 링 엘리먼트의 제1 표면은 복수의 가드 링 엘리먼트들 중 다른 하나의 가드 링 엘리먼트의 제1 표면과 동일 평면에 있다. 개시된 방법은 BEOL 배선 부분 및 반도체 기판 내에서 가드 링에 의해 둘러싸인 실리콘 관통 비아 개구를 형성하는 단계를 더 포함한다. 본 개시의 일부 실시예들에 따르면, 방법은 실리콘 관통 비아 개구를 금속화하는 단계를 포함한다.
본 명세서에서 설명된 실시예들 중 일부의 제3 양태에 따르면, 반도체 물질을 포함하는 반도체 기판을 포함하는 반도체 소자가 제공된다. 반도체 소자는 반도체 기판 상의 백 엔드 오브 라인(BEOL) 배선 부분을 포함하고, 백 엔드 오브 라인 배선 부분은 복수의 금속층들 및 절연 물질을 포함한다. 반도체 소자는 또한 반도체 기판 내에 그리고 백 엔드 오브 라인 배선 부분 내에 실리콘 관통 비아(TSV)를 포함하고, 반도체 기판 내의 실리콘 관통 비아는 백 엔드 오브 라인 배선 부분에 인접하여 치수(Db)를 그리고 백 엔드 오브 라인 배선 부분에 인접한 표면과는 반대측의 반도체 기판의 표면에 인접하여 반도체 기판 내에서의 치수(Dc)를 갖는다. 일부 실시예들에서 Db는 Dc보다 크다. 소자는 백 엔드 오브 라인 배선 부분 내에서 실리콘 관통 비아를 둘러싸는 가드 링을 더 포함한다. 가드 링은 복수의 가드 링 엘리먼트들을 포함한다. 복수의 가드 링 엘리먼트들 각각은 상부 섹션과 하부 섹션을 포함한다. 복수의 가드 링 엘리먼트들 각각의 상부 섹션은 거리(Wa)만큼 이격되어 있는 제1 표면과 제2 표면을 포함하고, 제1 표면은 실리콘 관통 비아에 제2 표면보다 더 가까이에 있다. 복수의 가드 링 엘리먼트들 각각의 하부 섹션은 거리(Wb)만큼 이격되어 있는 제1 표면과 제2 표면을 포함하고, 하부 섹션의 제1 표면은 실리콘 관통 비아에 하부 섹션의 제2 표면보다 더 가까이에 있다. 일부 실시예들에서, Wa는 Wb와 상이하다.
도 1a와 도 1b는 반도체 칩에서 실리콘 관통 비아를 형성하는 방법을 예시하는 단면도들이다.
도 2a 내지 도 2f는 본 개시의 일부 실시예들에 따른 실리콘 관통 비아 및 최상층 금속화부를 형성하는 방법을 예시하는 단면도들이다.
도 2g는 본 개시의 일부 실시예들에 따른 실리콘 관통 비아 및 가드 링의 평면 개략도이다.
도 3은 본 개시의 일부 실시예들에 따른 두 개의 가드 링 엘리먼트들이 분해되어 있는, 도 2d와 유사한 단면도이다.
도 4a 내지 도 4e는 본 명세서에서 설명된 실시예들에 따른 가드 링의 본 개시의 다양한 실시예들을 예시하는, 도 3에서의 3-3 화살표의 방향의 단면도들이다.
도 5는 본 개시의 실시예에 따른 방법을 예시하는 흐름도이다.
도 6a 내지 도 6e는 본 개시의 실시예에 따른 방법을 예시하는 단면도들이다.
도 7은 본 개시의 일부 실시예들에 따른 실리콘 관통 비아 및 가드 링의 단면도이다.
도 8은 본 개시의 일부 실시예들에 따른 실리콘 관통 비아 및 가드 링의 단면도이다.
아래의 설명에서는, 집적 회로 다이 내의 다양한 층들과 구조물들에 대한 두께와 물질이 설명될 수 있다. 특정 치수들과 물질들은 다양한 실시예들에 대한 예시로서 주어진 것이다. 당업자는, 본 개시에 비추어, 다른 치수들과 물질들이 본 개시의 범위를 벗어나지 않고서 많은 경우들에서 사용될 수 있다는 것을 인식할 것이다.
아래의 개시는 설명되는 본 발명내용의 여러 특징들을 구현하기 위한 많은 여러 실시예들 또는 예시들을 제공한다. 본 설명을 단순화하기 위해 컴포넌트 및 장치의 특정예들이 아래에서 설명된다. 물론, 이것들은 단지 예시들에 불과하며, 이것들로 한정시키고자 의도한 것은 아니다. 예를 들어, 이후의 상세설명에서 제2 피처 상에서의 또는 그 위에서의 제1 피처의 형성은 제1 및 제2 피처들이 직접적으로 접촉하여 형성되는 실시예들을 포함할 수 있으며, 또한 제1 및 제2 피처들이 직접적으로 접촉하지 않을 수 있도록 추가적인 피처들이 제1 및 제2 피처들 사이에서 형성될 수 있는 실시예들을 포함할 수 있다. 또한, 본 개시는 다양한 예시들에서 참조 숫자들 및/또는 문자들을 반복할 수 있다. 이러한 반복은 간략화 및 명료화를 목적으로 한 것이며, 그러한 반복 자체는 개시된 다양한 실시예들 및/또는 구성들 간의 관계에 영향을 주는 것은 아니다.
또한, 도면들에서 도시된 하나의 엘리먼트 또는 피처에 대한 다른 엘리먼트(들) 또는 피처(들)의 관계를 설명하기 위해 "아래", "밑", "보다 낮은", "위", "보다 위" 등과 같은 공간 상대적 용어들이 설명의 용이성을 위해 여기서 이용될 수 있다. 공간 상대적 용어들은 도면들에서 도시된 배향에 더하여 이용중에 있거나 또는 동작중에 있는 소자의 상이한 배향들을 망라하도록 의도된 것이다. 장치는 이와달리 배향될 수 있고(90° 회전되거나 또는 다른 배향으로 회전됨), 이에 따라 여기서 이용되는 공간 상대적 기술어들이 이와 똑같이 해석될 수 있다.
"수직 방향"과 "수평 방향"은 상대적인 방향들을 나타내는 것으로 이해되어야 한다. 따라서, 수평 방향은 수직 방향에 실질적으로 수직인 것으로 이해되어야 하고 그 반대도 마찬가지이다. 그럼에도 불구하고, 수직 방향이라고 칭해지는 치수가 수평으로 배향되고, 동시에 수평 방향이라고 칭해지는 치수가 수직으로 배향되도록 상기 설명되는 실시예들 및 양태들이 전체적으로 회전될 수 있다는 것은 본 개시의 범위 내에 있다.
아래의 설명에서는, 본 개시의 다양한 실시예들의 완전한 이해를 제공하기 위해 어떤 특정한 세부사항들이 진술된다. 그러나, 당업자는 본 개시는 이러한 특정 세부사항 없이 실시될 수 있음을 이해할 것이다. 다른 경우들에서, 전자 컴포넌트들과 연관된 잘 알려져 있는 구조물들과 제조 기술들은 본 개시의 실시예들의 설명을 불필요하게 모호하게 하는 것을 피하기 위해 상세하게 설명되지 않았다.
문맥이 달리 요구하지 않는 한, 아래의 상세한 설명과 청구항 전체에 걸쳐, "포함하다" 및 "포함하는"과 같은 "포함한다"의 단어와 그 변형체들은 개방적이고 포괄적인 의미, 즉 "포함하되, 이에 국한되지 않는다"로서 해석되어야 한다.
제1, 제2, 제3과 같은 서수의 사용은 등급화된 순서 의미를 반드시 암시하는 것은 아니며, 이보다는 액트 또는 구조물의 여러 인스턴스들 간을 구별할 수 있을 뿐이다.
본 명세서에 걸쳐서 "하나의 실시예" 또는 "실시예"에 대한 언급은 해당 실시예와 관련하여 기술된 특정한 특징, 구조, 또는 특성이 적어도 하나의 실시예에 포함된다는 것을 의미한다. 따라서, 본 명세서 전반에서의 다양한 위치들에서의 어구들 "하나의 실시예에서" 또는 "실시예에서"의 출현들은 모두 반드시 동일한 실시예를 언급하는 것은 아니다. 더 나아가, 특정한 특징들, 구조들, 또는 특성들은 하나 이상의 실시예들에서 임의의 적절한 방식으로 결합될 수 있다.
본 상세한 설명 및 첨부된 청구항들에서 사용되는, 단수 형태들은 내용에서 명백하게 달리 구술되지 않은 한 복수 지시물들을 포함한다. 또한, "또는"의 용어는 내용에서 명백하게 달리 구술되지 않는 한 "및/또는"을 포함하는 의미로 일반적으로 활용된다는 것에 유의해야 한다.
위에서 그리고 이후에 설명되는 본 개시에 따른 실시예들의 다양한 장점들과 목적들은, 개시된 실시예들의 제1 양태에 따라, 반도체 물질을 포함하는 반도체 기판을 포함하는 반도체 구조물을 제공함으로써 달성된다. 반도체 구조물은 반도체 기판 상의 백 엔드 오브 라인(BEOL) 배선 부분을 더 포함한다. 백 엔드 오브 라인 배선 부분은 도전성을 갖는 복수의 배선층들, 예컨대, 금속층들 및 절연 물질을 포함한다. 백 엔드 오브 라인 배선 부분 내에 그리고 반도체 기판 내에 실리콘 관통 비아가 존재한다. 반도체 구조물은 백 엔드 오브 라인 배선 부분 내에서 실리콘 관통 비아를 둘러싸는 가드 링을 포함하고, 가드 링은 복수의 가드 링 엘리먼트들을 포함하고, 복수의 가드 링 엘리먼트들 각각은 상부 섹션과 하부 섹션을 포함한다. 복수의 가드 링 엘리먼트들 각각의 상부 섹션은 거리(Wa)만큼 이격되어 있는 제1 표면과 제2 표면을 포함하고, 제1 표면은 실리콘 관통 비아에 제2 표면보다 더 가까이에 있다. 복수의 가드 링 엘리먼트들 각각의 하부 섹션은 거리(Wb)만큼 이격되어 있는 제1 표면과 제2 표면을 포함하고, 제2 부분의 제1 표면은 실리콘 관통 비아에 제2 부분의 제2 표면보다 더 가까이에 있다. 일부 개시된 실시예들에 따르면, Wa는 Wb와 상이하고, 복수의 가드 링 엘리먼트들 중 하나의 가드 링 엘리먼트의 제1 표면은 복수의 가드 링 엘리먼트들 중 다른 하나의 가드 링 엘리먼트의 제1 표면과 동일 평면에 있다.
본 명세서에서 개시된 실시예들의 제2 양태에 따르면, 반도체 물질을 포함하는 반도체 기판을 제공하는 단계를 포함하는 반도체 구조물을 형성하는 방법이 제공된다. 방법은 백 엔드 오브 라인(BEOL) 배선 부분을 형성하는 단계를 더 포함하고, 백 엔드 오브 라인 배선 부분은 복수의 도전성, 예컨대 금속층들, 절연 물질 및 가드 링을 포함한다. 가드 링은 복수의 가드 링 엘리먼트들을 포함한다. 복수의 가드 링 엘리먼트들 각각은 상부 섹션과 하부 섹션을 포함한다. 복수의 가드 링 엘리먼트들 각각의 상부 섹션은 거리(Wa)만큼 이격되어 있는 제1 표면과 제2 표면을 포함하고, 제1 표면은 실리콘 관통 비아에 제2 표면보다 더 가까이에 있다. 복수의 가드 링 엘리먼트들 각각의 하부 섹션은 거리(Wb)만큼 이격되어 있는 제1 표면과 제2 표면을 포함하고, 제2 부분의 제1 표면은 실리콘 관통 비아에 제2 부분의 제2 표면보다 더 가까이에 있다. 일부 실시예들에 따르면, Wa는 Wb와 상이하고, 복수의 가드 링 엘리먼트들 중 하나의 가드 링 엘리먼트의 제1 표면은 복수의 가드 링 엘리먼트들 중 다른 하나의 가드 링 엘리먼트의 제1 표면과 동일 평면에 있다. 개시된 방법은 BEOL 배선 부분 및 반도체 기판 내에서 가드 링에 의해 둘러싸인 실리콘 관통 비아 개구를 형성하는 단계를 더 포함한다. 본 개시의 일부 실시예들에 따르면, 방법은 실리콘 관통 비아 개구를 금속화하는 단계를 포함한다.
본 명세서에서 설명된 실시예들의 제3 양태에 따르면, 반도체 물질을 포함하는 반도체 기판을 포함하는 반도체 소자가 제공된다. 반도체 소자는 반도체 기판 상의 백 엔드 오브 라인(BEOL) 배선 부분을 포함하고, 백 엔드 오브 라인 배선 부분은 복수의 도전층, 예컨대, 금속층, 및 절연 물질을 포함한다. 반도체 소자는 또한 반도체 기판 내에 그리고 백 엔드 오브 라인 배선 부분 내에 실리콘 관통 비아(TSV)를 포함하고, 반도체 기판 내의 실리콘 관통 비아는 백 엔드 오브 라인 배선 부분에 인접하여 치수(Db)를 그리고 백 엔드 오브 라인 배선 부분에 인접한 표면과는 반대측의 반도체 기판의 표면에 인접하여 반도체 기판 내 치수(Dc)를 갖는다. 일부 실시예들에서 Db는 Dc보다 크다. 소자는 백 엔드 오브 라인 배선 부분 내에서 실리콘 관통 비아를 둘러싸는 가드 링을 더 포함한다. 가드 링은 복수의 가드 링 엘리먼트들을 포함한다. 복수의 가드 링 엘리먼트들 각각은 상부 섹션과 하부 섹션을 포함한다. 복수의 가드 링 엘리먼트들 각각의 상부 섹션은 거리(Wa)만큼 이격되어 있는 제1 표면과 제2 표면을 포함하고, 제1 표면은 실리콘 관통 비아에 제2 표면보다 더 가까이에 있다. 복수의 가드 링 엘리먼트들 각각의 하부 섹션은 거리(Wb)만큼 이격되어 있는 제1 표면과 제2 표면을 포함하고, 제2 부분의 제1 표면은 실리콘 관통 비아에 제2 부분의 제2 표면보다 더 가까이에 있다. 일부 실시예들에서, Wa는 Wb와 상이하다.
도면들을 보다 상세하게 참조하면, 도 1a와 도 1b는 실리콘 관통 비아를 형성하는 방법을 예시한다. 먼저 도 1a를 참조하면, 반도체 칩(10)은 반도체 기판(12) 및 BEOL 배선(14)을 포함한다. 도 1a와 도 1b에서는 반도체 칩(10)의 일부만이 도시되어 있음을 이해해야 한다. 반도체 기판(12)은 반도체 기판(12) 내에 트랜지스터, 커패시터 등과 같은 다양한 개별 소자들을 형성하기 위해 백 엔드 오브 라인(FEOL) 처리를 거쳤다. 이러한 개별 소자들은 명료화를 위해 도시되지 않는다. BEOL 배선(14)은 절연 유전체 물질 내의 전기 전도성 물질, 예를 들어, 금속성 배선의 다중 층들(도시되지 않음)을 포함한다. BEOL 배선(14)의 정확한 세부사항은 당업자에게 잘 알려져 있으며 여기서는 도시되거나 설명되지 않는다. 또한 도 1a에서는 BEOL 배선(14) 및 반도체 기판(12)을 관통하도록 에칭된 실리콘 관통 비아 개구(16)가 도시되어 있다.
도 1b를 참조하면, 그 후 절연 물질(18)이 실리콘 관통 비아 개구(16)의 벽들 상에 성막될 수 있다. 절연 물질(18)을 성막하기 위한 한 공정에서, O3/TEOS(테트라에틸 오르토실리케이트)가 사용된다. O3/TEOS(테트라에틸 오르토실리케이트)를 사용하는 절연 물질(18)의 형성의 부산물은 도 1b에서 화살표(20)로 표시된 바와 같이, BEOL 배선(14)의 유전체 물질 내로 이동할 수 있는 물의 생성이다. 물은 BEOL 배선(14)의 절연 물질에 해로울 수 있고 BEOL의 전기 전도성 피처들을 형성하는 물질들에 해로울 수 있다. 예를 들어, BEOL의 전기 전도성 피처들은 배리어층들, 예컨대, TiN, TaN 등 및 구리 또는 알루미늄과 같은 전기 전도성 금속들을 포함하는 피처들을 포함한다. 절연 물질(18)의 형성 동안 생성되는 물이 BEOL 배선(14)의 유전체 물질 내로 이동할 때, 물은 BEOL 배선 피처들의 배리어층의 산화를 촉진할 수 있다. 이러한 산화는, BEOL 배선의 도전성 물질, 예를 들어, 구리 또는 알루미늄이 배리어층을 통해 확산될 수 있고 BEOL 배선(14)의 절연 유전체 물질 내에 금속 결절(nodule)들을 형성할 수 있도록, 배리어층을 약화시킨다. 이러한 금속 결절들은 결국 합체되어 절연 유전체 물질 내의 BEOL 배선 피처들 사이에 원치 않는 전기 경로를 형성할 수 있다. 예를 들어, BEOL 배선 피처들이, 예를 들어, 테스트 또는 정상 작동 중에 전압 바이어스를 받는 경우, BEOL 금속은 약화된 배리어층을 통해 이동하여 금속 결절들을 형성할 수 있다.
계속해서 도 1b를 참조하면, 실리콘 관통 비아 개구(16)는 전기도금 기술과 같은 기술을 사용하여 실리콘 관통 비아 개구(16) 내로 구리 또는 알루미늄과 같은 금속성 물질을 성막함으로써 금속화된다. 실리콘 관통 비아 개구(16)는 또한 TiN 또는 Ta/TaN과 같은 라이너층을 포함할 수 있다.
이제 도 2a 내지 도 2d를 참조하면, 본 개시의 실시예가 예시된다. 먼저 도 2a를 참조하면, 반도체 칩(110)은 반도체 기판(112) 및 반도체 기판(112)의 일측 상의 BEOL 배선(114)을 포함한다. 추가적인 배선층(111)이 반도체 기판(112)의 반대측 상에 존재하며 복수의 도전성 피처들(111a, 111b, 111c)을 포함한다. 명료화를 위해, 반도체 기판(112)은 단면으로 도시되지 않았으므로 반도체 기판(112) 내의 구조물들 또는 소자들은 보이지가 않으며; 그러나, 이러한 구조물들 또는 소자들은 반도체 기판(112) 내에 존재하고 박스(115)로 개략적으로 표현된다. 이러한 구조물들 또는 소자들은 트랜지스터와 같은 반도체 구조물을 포함한다. 도 2a 내지 도 2d에서는 반도체 칩(110)의 일부만이 도시되어 있음을 이해해야 한다. 반도체 기판(112)을 위한 일부 적절한 물질들은 실리콘, 실리콘 게르마늄 또는 게르마늄과 같은 IV족 반도체, III-V족 화합물 반도체, 또는 II-VI족 화합물 반도체를 포함하지만 이것으로 국한되지 않는다. BEOL 배선(114)의 금속화부는 통상적으로 구리이지만 알루미늄과 같은 다른 도전성 물질들일 수도 있다. BEOL 배선(114)의 유전체 절연 물질은 실리콘 이산화물, 실리콘 질화물 또는 SiCOH(실리콘, 탄소, 산소, 및 수소로 구성된 화합물)와 같은 임의의 적절한 물질일 수 있다.
반도체 기판(112)은 반도체 기판(112) 내에 트랜지스터, 커패시터 등과 같은 다양한 개별 소자들을 형성하기 위해 백 엔드 오브 라인(FEOL) 처리를 거쳤다. 이러한 개별 소자들은 명료화를 위해 도시되지 않는다. BEOL 배선(114)은 절연 유전체 물질 내의 금속성 배선의 다중 층들(도시되지 않음)을 포함한다. BEOL 배선(114)의 정확한 세부사항은 당업자에게 잘 알려져 있으며, 더 자세히 설명될 필요가 없다. 또한 도 2a에서는 가드 링(130)이 도시되어 있으며, 이는 일부 실시예들에서, BEOL 배선(114)의 개별 배선층들 및 상이한 금속성 배선층들 사이의 상호연결부들 또는 비아들의 형성과 동일한 시퀀스로 그리고 이와 동시에 형성될 수 있다. 가드 링은 BEOL 배선(114)의 금속화부에 전기적으로 연결되거나 그렇지 않을 수 있다. 가드 링(130)이 BEOL 배선(114)의 개별 배선층들 및 이들 사이의 비아들의 형성과 동일한 시퀀스로 그리고 이와 동시에 형성되는 경우, 가드 링은 131a~131j로 식별되는 다중 세그먼트들 또는 섹션들을 포함한다. 이들 세그먼트들(131a~131j) 각각은 BEOL 배선층을 형성하는 것과 동일한 공정 동안에 형성되는 넓은 상부 부분(131u) 및 인접한 BEOL 배선층들 사이에 비아를 형성하는 것과 동일한 공정 동안 형성되는 좁은 하부 부분(131n)을 포함한다. 가드 링(130)의 형성은 아래에서 더 상세히 논의될 것이다. 본 명세서에서 설명되는 실시예들 중 일 실시예에서, 가드 링(130)은 실리콘 관통 비아가 형성될 영역을 에워싸는 금속성 물질과 같은 전기 전도성 물질의 링 또는 펜스이다. 예시된 실시예에서, 가드 링(130)은 적어도 BEOL 배선(114)의 전체 수직 길이로 연장된다.
도 2b에서는 가스 플라즈마 에칭과 같은 유전체 또는 도전체 에칭 기술을 사용하여 BEOL 배선(114)을 관통하도록 에칭된 실리콘 관통 비아 개구(116)가 도시된다. 도 2c에서 예시된 바와 같이, 실리콘 관통 비아 개구(116)는 추가적인 배선층(111)의 일부분 내로 연장되고, 추가적인 배선층(111)에서 실리콘 관통 비아 개구(116)는 도전성 피처(111b)에서 종결된다. 활용되는 에칭 공정은 보쉬(Bosch) 공정과 같은 등방성 건식 에칭 공정일 수 있다. (이방성 에칭 공정과는 반대로) 등방성 에칭 공정을 사용한 결과로서, 수직으로 뿐만 아니라 횡측으로 연장되는 리세스가 형성된다. 일반적으로, 보쉬 공정은 리세스의 원하는 깊이에 도달할 때까지 기판을 에칭하는 제1 가스를 도입하는 것을 포함하며, 이 깊이의 지점에서 제1 가스는 중단되고, 측벽들의 표면을 따라 보호성 라이너를 생성하는 제2 가스가 도입된다. 제1 가스가 재도입될 때, 제1 가스는 리세스의 바닥을 따라 보호성 라이너를 제거하고(측벽들을 따라 보호성 라이너를 남겨둠), 리세스의 바닥을 따라 기판 에칭을 계속한다. 원하는 깊이가 달성될 때까지 제1 가스 및 제2 가스를 도입하는 공정은 반복된다.
예를 들어, SF6과 같은 에칭 가스가 에천트로서 사용될 수 있다. 위에서 설명된 공정은 원하는 깊이의 개구를 생성하기 위해 여러 번 반복될 수 있다. 원하는 깊이에 이르는 개구를 형성한 후, 개구는 도 2e에서의 TSV(122)를 형성하기 위해 도전성 물질로 채워질 수 있다. 구리와 같은 도전성 물질이 기판(112) 및/또는 추가적인 배선층(111)의 유전체 물질과 같은 하나 이상의 유전체층 내로 확산되는 것을 방지하기 위해 확산 배리어를 생성하도록 절연층(도 2d에서의 118)이 개구의 측벽들을 따라 형성된다. 절연층(118)은, 예를 들어, 물리적 증착(physical vapor deposition; PVD), 화학적 증착(chemical vapor deposition; CVD), 원자층 성막(atomic layer deposition; ALD), 스핀 온 성막, 또는 다른 적절한 방법에 의해 형성될 수 있다. 절연층(118)이 형성된 후, TSV(122)를 형성하기 위해 도전성 물질이 사용된다. 도전성 물질은, 예를 들어, 구리, 텅스텐, 알루미늄, 은, 이들의 조합 등일 수 있다. 실시예에서, 시드층(도시되지 않음)이 절연층(118) 위에 형성되고, 개구를 채우기 위해 전착(electro-deposition) 공정이 이용되지만, 무전해 성막, 도금, 또는 CVD와 같은 다른 적절한 방법이 또한 사용될 수 있다. 공정은 개구를 과잉충전하는 것, 및 예를 들어, 화학적 기계적 폴리싱(CMP), 에칭, 이들의 조합 등과 같은 공정을 사용하여 TSV 개구 외부에 위치한 과잉 도전성 물질을 제거하는 것을 포함할 수 있다.
도 2c에서, 실리콘 관통 비아 개구(116)는 플라즈마 에칭과 같은 에칭 공정들을 사용하여 반도체 기판(112)을 관통하여 연장된다. 도 2c에서의 실리콘 관통 비아 개구(116)는 반도체 기판(112)을 관통하여 추가적인 배선층(111) 내로 연장되는 것으로서 예시되어 있으며, 다른 실시예들에서는, 실리콘 관통 비아 개구는 반도체 기판(112)을 관통하여 연장되지 않되, 이보다는 반도체 기판(112)의 일부를 통해 도 2c에서 예시된 반도체 기판(112)의 일부의 반대측 상의 금속 피처들까지 연장된다. 실리콘 관통 비아 개구(116)의 위치는 가드 링(130) 내에 있도록 선택되었다. 실리콘 관통 비아 개구(116)가 BEOL 배선(114) 및 반도체 기판(112)을 완전히 관통하도록 에칭된 것으로서 도시되어 있지만, 실리콘 관통 비아 개구(116)가 BEOL 배선(114)을 부분적으로 관통하도록 및/또는 반도체 기판(112)을 부분적으로 관통하도록 에칭되는 것은 본 개시의 범위 내에 있다.
본 개시의 일부 실시예들에서, 도 2c에서 가장 잘 볼 수 있는 바와 같이, 반도체 기판(112)을 관통하도록 실리콘 관통 비아 개구(116)를 연장시키는 공정은 반도체 기판(112)과 BEOL 배선(114) 사이의 계면 근처의 반도체 기판(112)의 일부를 제거하지 않는다. 반도체 기판(112)의 이러한 남아 있는 부분들은 돌출부(117)로서 식별된다. 이론에 얽매이지 않고서, 돌출부(117)는, 실리콘 관통 비아 개구(116)가 BEOL 배선(114) 내에 형성된 후에 남아 있는 BEOL 배선(114)의 절연 유전체 물질의 부분에 의해, 실리콘 관통 비아 개구(116)를 연장시키도록 반도체 기판(112)을 에칭하는 데 사용된 에천트 물질로부터 차폐된 반도체 기판(112)의 일부분의 결과일 가능성이 높다. 이 남아 있는 부분은 도 2b에서 가장 잘 예시되어 있고, BEOL 배선(114)의 유전체 절연 물질에 형성된 실리콘 관통 비아 개구(116)의 바닥에 위치한다. 본 개시의 일부 실시예들에 따르면, 돌출부(117)를 제거하고 실리콘 관통 비아 개구(116)의 보다 평평한 벽을 생성하기 위해 제2 에칭 단계가 수행된다. 일 실시예에서, 돌출부(117)는 실리콘 관통 비아 개구(116)를 반도체 기판(112) 내로 연장시키는 데 사용된 에칭 공정과 동일한 유형의 에칭 공정을 사용하여 제거된다. 다른 실시예들에서, 돌출부(117)는 실리콘 관통 비아 개구(116)를 반도체 기판(112) 내로 연장시키는 데 사용된 에칭 공정과는 상이한 유형의 에칭 공정을 사용하여 제거된다. 돌출부(117)의 제거는 반도체 기판(112)의 물질에서 응력 집중을 감소시키기 위해 바람직하다.
도 2d를 참조하면, 도 2d에서의 실리콘 관통 비아 개구(116)의 최상부는(BEOL 배선(114)의 최상부에 인접해 있음)는 실리콘 관통 비아 개구(116)의 좌측 벽(119a)과 우측 벽(119b) 사이의 횡측 거리를 나타내는 치수(Da)를 포함한다. 일부 실시예들에서, Da는 1.5 내지 3 마이크로미터의 범위 내에 있다. 실리콘 관통 비아 개구(116)의 바닥부는(반도체 기판(112)의 바닥부에 인접해 있음)는 실리콘 관통 비아 개구(116)의 좌측 벽(119a)과 우측 벽(119b) 사이의 횡측 거리를 나타내는 치수(Dc)를 포함한다. Dc는 Da보다 더 작다. BEOL 배선(114)과 반도체 기판(112) 사이의 계면에 인접하여, 실리콘 관통 비아 개구(116)는 실리콘 관통 비아 개구(116)의 좌측 벽(119a)과 우측 벽(119b) 사이의 횡측 거리를 나타내는 치수(Db)를 포함한다. Db는 Da보다 더 작고 Dc보다 더 크다. 본 개시의 일부 실시예들에 따르면, 돌출부(117)의 만족스러운 제거는 Db가 Dc보다 더 클 때 표시된다. 도 2c에 도시된 바와 같이 Dc보다 더 작은 Db는 돌출부(117)의 만족스러운 제거가 달성되지 않았다는 표시이다. 위에서 언급한 바와 같이, 돌출부(117)의 불만족스러운 제거는 돌출부(117)가 존재할 때 존재하는 응력 집중으로 인해 바람직하지 않다.
돌출부(117)의 성공적인 제거 후에, 위에서 논의된 바와 같이, 절연 물질(118), 예를 들어, 산화물 또는 로우(low) k 유전체 물질이 실리콘 관통 비아 개구(116)의 측벽들 상에 형성된다. 절연 물질은 도전성 물질을 Si 기판으로부터 전기적으로 격리시키는 역할을 한다. 사용된 특정 절연 물질 및 그 두께는 TSV의 성능, 예컨대, TSV의 커패시턴스와 전류 누설에 영향을 미칠 수 있다. 절연 물질(118)을 성막하기 위한 한 공정에서, O3/TEOS(테트라에틸 오르토실리케이트)가 사용된다. 일부 실시예들에서, 절연 물질(118)은 약 1 마이크로미터의 두께를 가질 수 있다. 위에서 논의된 바와 같이, 절연 물질(118)의 형성의 부산물은 BEOL 배선(114)의 유전체 물질 내로 이동할 수 있는 물의 생성이다. 위에서 언급된 바와 같이, 물은 BEOL 배선(114)의 절연 물질에 해로울 수 있고 BEOL의 전기 전도성 피처들을 형성하는 물질들에 해로울 수 있다. 예를 들어, BEOL의 전기 전도성 피처들은 배리어층들, 예컨대, TiN, TaN 등 및 배리어층들에 의해 적어도 부분적으로 둘러싸인 구리 또는 알루미늄과 같은 전기 전도성 금속들을 포함하는 피처들을 포함한다. 위에서 언급된 바와 같이, 절연 물질(118)의 형성 동안 생성되는 물이 BEOL 배선(114)의 유전체 물질 내로 이동할 때, 물은 BEOL 배선 피처들의 배리어층의 산화를 촉진할 수 있다. 이러한 산화는, BEOL 배선의 도전성 물질, 예를 들어, 구리 또는 알루미늄이 배리어층을 통해 확산될 수 있고 BEOL 배선(114)의 절연 유전체 물질 내에 금속 결절(nodule)들을 형성할 수 있도록, 배리어층을 약화시킨다. 예를 들어, BEOL 배선 피처들이, 예를 들어, 테스트 또는 정상 작동 중에 전압 바이어스를 받는 경우, BEOL 금속은 약화된 배리어층을 통해 이동하여 금속 결절들을 형성할 수 있다. 이러한 금속 결절들은 결국 합체되어 절연 유전체 물질 내의 BEOL 배선 피처들 사이에 원치 않는 전기 경로를 형성할 수 있다. 본 개시의 실시예들에 따르면, 가드 링(130)은 절연층(118)의 형성 동안에 형성되는 물이 가드 링(130)을 넘어 통과하여 물이 BEOL 배선 피처들의 배리어층을 손상시킬 수 있는 것을 차단한다.
다음으로, 실리콘 관통 비아 개구(116)는 구리 또는 알루미늄과 같은 금속성 물질(122)을 실리콘 관통 비아 개구(116) 내에 성막함으로써 금속화되어 도 2e에서 도시된 구조물을 생성한다. 실리콘 관통 비아 개구(116)는 또한 도 2e에서 도시되지 않은 TEOS, Ti, TiN, Ta, 또는 TaN과 같은 절연층 또는 라이너층을 포함할 수 있다. 라이너층은 약 10㎚~100㎚의 두께를 가질 수 있다. 라이너층에 의해 실리콘 관통 비아 개구(116)의 측벽이 불완전하게 덮히는 경우, 이는 금속화부(122)가 특히 구리인 경우 금속화부(122)의 BEOL 배선(114)의 배선층들 내로의 확산을 허용할 수 있다. 그러나, 가드 링(130)의 존재는 금속화부(122)가 BEOL 배선(114)의 배선층들의 기능성 부분에 진입하는 것을 방지한다. 본 개시의 다른 실시예에서, BEOL 배선(114)의 기능성 부분 내로의 금속화부(122)의 임의의 이동이 가드 링(130)에 의해 방지될 것이기 때문에 라이너 층은 생략될 수 있다.
도 2e에서 도시된 바와 같이, 금속화된 실리콘 관통 비아 개구(116)는 BEOL 배선(114)과 반도체 기판(112) 모두를 관통하여 연장될 수 있다. 본 개시의 다른 실시예들에서, 금속화된 실리콘 관통 비아 개구(116)는 BEOL 배선(114) 및/또는 반도체 기판(112)을 부분적으로만 관통하여 연장될 수 있다.
금속화된 실리콘 관통 비아 개구(116)는 BEOL 배선(114)과 반도체 기판(112)을 연속적으로 관통하여 연장될 수 있다는 점에 유의해야 한다. "연속적으로"라는 것은, 금속화된 실리콘 관통 비아 개구(116)가 BEOL 배선(114)의 표면(128) 또는 그 부근에서부터 반도체 기판(112)의 표면(132)까지 또는 그 부근까지 옆으로 꺾이지 않고서 직선 경로로 반도체 칩(110)을 관통하여 연장되는 것을 의미한다.
도 2f의 실시예에서, 최상부 금속층(134)은 BEOL 배선(114)의 표면(128), 가드 링(130)의 윗면, 및 실리콘 관통 비아 개구(116) 내의 금속화부(122)의 윗면의 부분들 위에 형성되었다. 최상부 금속층(134)의 형성은 BEOL 배선(114)의 표면(128), 가드 링(130)의 윗면, 및 실리콘 관통 비아 개구(116) 내의 금속화부(122)의 윗면 위에 절연 유전체 물질을 형성하는 단계, 이러한 형성된 절연 유전체 물질을 패터닝하는 단계, 및 가드 링(130) 및 실리콘 관통 비아 개구(116) 내의 금속화부(122) 위의 패터닝된 절연 유전체 물질 내에 추가적인 금속화부를 형성하는 단계를 포함한다. 도 2f에서는 도시되지 않았지만, 산화물층과 질화물층의 조합, 예를 들어, 산화물층과 TEOS, Ti, TiN, Ta, 또는 TaN의 층의 조합과 같은 절연층 또는 패시베이션층은 BEOL 배선(114)이 형성되어 있는 유전체 물질로부터 최상부 금속층(134)의 피처들을 분리시킨다.
도 2f에서, 최상부 금속층(134)은 가드 링(130)의 (도 2g에서의) 직경(Dg)과 실질적으로 동일한 폭(WT)을 갖는 부분(135)을 포함한다. 부분(135)은 실리콘 관통 비아 개구(116) 내의 금속화부(122)의 윗면 위에서 연장되고 가드 링(130)과 실리콘 관통 비아 개구(116) 내의 금속화부(122) 사이의 유전체 물질의 윗면 위에서 연장된다. 부분(135)이 금속화부(122)를 가드 링(130)에 전기적으로 연결하지만, 전술한 바와 같은 일부 실시예들에서, 가드 링(130)은 전기적으로 비활성인 구조물이다. 다른 실시예들에서, 도 7에서 예시된 바와 같이, 최상부 금속층(134)은 실리콘 관통 비아 개구(116) 내의 금속화부(122)의 (도 2g에서의) 직경(Da)과 실질적으로 동일한 폭(WT)을 갖는 부분(135)을 포함한다. 최상부 금속층(134)은 또한 유전체 물질에 의해 부분(135)으로부터 분리되는 가드 링(130) 위의 부분(135a)을 포함한다. 그러한 구조로, 가드 링(130)은 금속화부(122)로부터 전기적으로 격리된다. 도 8을 참조하면, 다른 실시예에서, 최상부 금속층(134)은 가드 링(130)의 (도 2g에서의) 직경(Dg)보다 더 큰 폭(WT)을 갖는 부분(135)을 포함한다. 본 개시의 실시예들에 따르면, Dg(도 2g를 참조하여 아래에서 설명됨) 대 WT의 비는 약 1:0.5 내지 1:2의 범위 내에 있다. 다른 실시예들에서 Dg 대 WT의 비는 약 1:0.75 내지 1:1.5의 범위 내에 있다. Dg 대 WT의 비가 이러한 범위 아래로 떨어지면, BEOL 배선을 포함하는 층에서의 TSV들의 개수의 밀도는 금속층(134)의 부분(135)의 상대적으로 더 큰 크기로 인해 감소될 것이다. Dg 대 WT의 비가 이러한 범위 위에 있으면, BEOL 배선을 포함하는 층에서의 TSV들의 개수의 밀도는 가드 링의 상대적으로 더 큰 크기로 인해 감소될 것이다.
도 2g에서는 TSV(122) 및 가드 링(130)의 평면도가 예시되어 있다. 도 2g에서는 복수의 금속 라인들(125) 및 복수의 더미 금속 구조물들(123)이 BEOL에서의 원하는 금속 밀도를 제공하기 위해 존재한다. 이러한 원하는 금속 밀도는 비금속 피처들을 산 에칭(acid etching)으로부터 보호할 수 있고, 평탄화 단계 정지로서 역할을 함으로써 상이한 BEOL 층들의 평탄성을 향상시킬 수 있다. TSV(122)는 아래에서 설명되는 직경(Da)을 특징으로 한다. Wa는 도 3을 참조하여 아래에서 설명되는 가드 링 엘리먼트(131a)의 배선 부분(156)의 폭이다. TSV(122)는 가드 링(130)과 더미 금속 구조물들(123) 및 금속 라인들(125) 사이의 절연 물질(127)의 링에 의해 가드 링(130)으로부터 분리된다. 링(127)의 폭(Wr) 대 Wa의 비는 약 1:1 내지 약 1:1.5의 범위 내에 있다. Wr은 약 0.3마이크로미터 내지 0.5마이크로미터의 범위 내에 있다. 링(127)의 폭(Wr) 대 Wa의 비가 1:1.1 아래로 떨어질 때, TSV(122)로부터 가드 링(130)으로의 전류 누설의 가능성이 증가한다. 링(127)의 폭(Wr) 대 Wa의 비가 약 1:1.5보다 클 때, TSV(122)와 가드 링(130) 사이의 유전체 물질의 더 큰 비율로 인해 BEOL 배선의 밀도가 감소된다. 가드 링(130)은 유전체(129)에 의해 더미 금속 구조물들(123) 및 금속 라인들(125)로부터 전기적으로 격리된다. Da 대 Wr의 비는 약 3:1 내지 약 7:1의 범위 내에 있다. Da 대 Wr의 비가 약 3:1 아래에 있으면, TSV의 성능은 악영향을 받을 수 있다. Da 대 Wr의 비가 약 7:1 위에 있으면, TSV(122)로부터의 전류 누설의 가능성이 증가한다.
도 3을 참조하면, 가드 링(130)의 두 엘리먼트들(131a, 131b)의 일부가 확대되어 예시되어 있다. 도 3의 실시예에서, 가드 링 엘리먼트(131a)와 가드 링 엘리먼트(131b)는 동일하다. 따라서, 이하에서는 가드 링 엘리먼트(131a)만을 설명할 것이다. 가드 링 엘리먼트(131a)의 설명은 또한 가드 링 엘리먼트(131b)에 적용된다. 가드 링 엘리먼트(131a)는 제1 부분(150) 및 제2 부분(152)을 포함한다. 도 3에서 예시된 실시예에서, 제1 부분(150)은 직사각형 형상이고 제2 부분(152)도 직사각형 형상이다. 제1 부분(150)은 제2 부분(152)보다 더 작은 직사각형이다. 제2 부분(152)에서의 점선(154)은 가드 링 엘리먼트(131a)의 상부 섹션(156)을 가드 링 엘리먼트(131a)의 하부 섹션(158)으로부터 분리하는 가상선이다. 본 개시의 일부 실시예들에 따르면, 상부 섹션(156)은 BEOL 배선(114)의 금속 배선층을 형성하는 것과 동일한 반도체 공정을 사용하여 형성된다. 하부 섹션(158)은 BEOL 배선(114)의 두 층들 사이에 비아를 형성하는 것과 동일한 공정을 사용하여 형성된다. 상부 섹션(156)은 아래에서 가드 링 엘리먼트(131a)의 배선 섹션이라고 칭해질 수 있고, 하부 섹션(158)은 아래에서 가드 링 엘리먼트(131a)의 비아 섹션이라고 칭해질 수 있다. 상부 섹션(156)은 Wa로 표시된 거리만큼 이격되어 있는 제1 표면(160)과 제2 표면(162)을 포함한다. Wa는 가드 링 엘리먼트(131a)의 배선 섹션(156)의 폭이다. 도 3에서 예시된 실시예에서, 제1 표면(160)과 실리콘 관통 비아 개구(116) 내의 금속화부(122) 사이의 거리는 제2 표면(162)과 실리콘 관통 비아 개구(116) 내의 금속화부(122) 사이의 거리보다 작다. 하부 섹션(158)은 Wb로 표시된 거리만큼 이격되어 있는 제1 표면(164)과 제2 표면(166)을 포함한다. Wb는 가드 링 엘리먼트(131a)의 비아 섹션(158)의 폭이다. 도 3에서 예시된 실시예에서, 제1 표면(164)과 실리콘 관통 비아 개구(116) 내의 금속화부(122) 사이의 거리는 제2 표면(166)과 실리콘 관통 비아 개구(116) 내의 금속화부(122) 사이의 거리보다 작다. 달리 말하면, Wa는 Wb와 상이하다. 도 3에서 예시된 실시예에서, 제2 표면(162)과 실리콘 관통 비아 개구(116) 내의 금속화부(122) 사이의 거리는 제2 표면(166)과 실리콘 관통 비아 개구(116) 내의 금속화부(122) 사이의 거리보다 크다. 도 3에서 예시된 실시예에서, 가드 링 엘리먼트(131a)는 제1 표면들(160, 164)에 평행한 치수(Hb)를 포함한다. 도 3에서, 치수(Hb)는 가드 링 엘리먼트(131a)의 윗면(168)과 가드 링 엘리먼트(131a)의 아랫면(170) 사이에서 연장된다. 도 3에서 예시된 실시예에서, 가드 링 엘리먼트들(131a~131j) 중 일부의 치수(Hb)는 상이하다. 예를 들어, 도 3에서, 가드 링 엘리먼트(131j)의 Hb는 다른 가드 링 엘리먼트들, 예를 들어, 가드 링 엘리먼트들(131a~131h)의 Hb보다 더 크다. 예를 들어, 가드 링 엘리먼트(131a)의 Hb는 가드 링 엘리먼트(131b)의 Ha와 상이할 수 있다. 도 3에서의 가드 링 엘리먼트(131a)와 가드 링 엘리먼트(131b)의 동일한 성질을 비추어 볼 때, 피처들(150, 152, 154, 156, 158, 160, 162, 164, 166, 168, 170)에 대한 위의 설명은 또한, 가드 링 엘리먼트(131b)뿐만이 아니라 가드 링 엘리먼트들(131c~131j)에 적용가능하다. 가드 링 엘리먼트(131a)와 가드 링 엘리먼트(131b)의 동일한 성질을 비추어 볼 때, 가드 링 엘리먼트(131a) 치수들(Wa, Wb, Hb)에 대한 설명은 또한, 가드 링 엘리먼트(131b)에 적용된다. 일부 실시예들에서, 가드 링 엘리먼트들(131a~131j)은 모두 동일한 치수들을 갖는다. 다른 실시예들에서, 가드 링 엘리먼트들(131a~131j)은 모두 상이한 치수들을 갖는다. 또다른 실시예들에서, 가드 링 엘리먼트들(131a~131j) 중 일부는 동일한 치수를 갖고, 가드 링 엘리먼트들(131a~131j) 중 일부는 상이한 치수를 갖는다. 예를 들어, 가드 링 엘리먼트(131a)의 Wa는 다른 가드 링 엘리먼트들(131b~132j) 중 하나 이상의 가드 링 엘리먼트의 Wa와 상이할 수 있지만 나머지 가드 링 엘리먼트들은 동일한 Wa를 갖는다. 예를 들어, 가드 링 엘리먼트(131a)의 Wb는 다른 가드 링 엘리먼트들(131b~132j) 중 하나 이상의 가드 링 엘리먼트의 Wb와 상이할 수 있지만 나머지 가드 링 엘리먼트들은 동일한 Wb를 갖는다. 도 3의 실시예는 10개의 가드 링 엘리먼트들을 도시하지만, 본 개시의 다른 실시예들에서, BEOL 배선(114)은 10개보다 많은 가드 링 엘리먼트들을 포함하고, 다른 실시예들에서, BEOL 배선(114)은 10개보다 적은 수의 가드 링 엘리먼트들을 포함한다.
본 개시의 일부 실시예들에 따르면, Wa 대 Wb의 비는 약 1.8 내지 1.1이다. 다른 실시예들에서, Wa 대 Wb의 비는 약 1.6 내지 1.2이다. Wa 대 Wb의 비가 1.8을 초과하면, 가드 링 엘리먼트(131a)의 배선 부분(156)이 BEOL 배선(114)의 인접한 배선 엘리먼트들에 너무 가까워지고, BEOL 배선 피처들과 배선 부분(156) 사이 또는 인접한 BEOL 배선 피처들 사이에 원치 않는 전기 경로의 형성 가능성을 증가시킨다. Wa 대 Wb의 비가 1.1 미만으로 떨어지면, 가드 링 엘리먼트(131a)는 TSV의 형성 동안에 형성될 수 있는 물로부터 BEOL 배선을 보호하는 데 효과적이지 않을 수 있다. 일부 실시예들에서, Wa는 0.15마이크로미터 내지 0.5마이크로미터의 범위 내에 있다. 다른 실시예들에서, Wa는 0.2마이크로미터 내지 0.4마이크로미터의 범위 내에 있다. 일부 실시예들에서, Wb는 0.1마이크로미터 내지 0.4마이크로미터의 범위 내에 있다. 다른 실시예들에서, Wb는 0.1마이크로미터 내지 0.3마이크로미터의 범위 내에 있다.
본 개시의 실시예들에 따르면, 가드 링 엘리먼트(131a)의 제1 표면(160)과 가드 링 엘리먼트(131b)의 제1 표면(164)은 동일 평면 상에 있다. 본 개시의 다른 실시예들에서, 가드 링 엘리먼트(131a)의 제1 표면(160)과 제1 표면(164) 그리고 가드 링 엘리먼트(131b)의 제1 표면(160)과 제1 표면(164)은 동일 평면 상에 있다. 또다른 실시예들에서, 하나 이상의 주어진 가드 링들의 제1 표면(160) 및/또는 제1 표면(164) 중 하나는 하나 이상의 다른 가드 링들의 제1 표면(160) 및/또는 제1 표면(164) 중 하나와 동일 평면 상에 있다. 본 개시의 실시예들의 동일 평면 상에 있는 제1 표면들(160) 및/또는 제1 표면들(164)을 갖는 가드 링 엘리먼트들은 도 2c와 도 2d에서 예시되고 위에서 설명된 에칭 단계들 동안에 손상될 가능성이 더 적다. 가드 링이 동일 평면 상에 있지 않은 내부 표면들을 가질 때, TSV를 위한 개구를 형성하는 에칭은 또한 가드 링 엘리먼트의 제1 표면(160) 및/또는 제1 표면(164)의 일부를 에칭할 수 있는 가능성이 증가된다.
가드 링(130)은 반도체 설계의 요구에 맞게 다양한 형상들로 형성될 수 있다. 도 4a 내지 도 4e는 도 3의 3-3 화살표의 방향으로의 반도체 칩(110)의 단면들이다. 도 4a 내지 도 4e에서, 전술된 치수(Wa)를 갖는 가드 링(131j)의 배선 부분(156)의 최상면은 보여지지만; 치수(Wb)를 갖는 비아 부분(158)은 배선 부분(156) 아래에 있고 보이지 않는다. TSV(116)는 전술한 치수(Da)를 갖는다. 가드 링(130A)은 전술한 치수(Dg)를 갖는다. 먼저 도 4a를 참조하면, 가드 링(130A)은 단면이 직사각형인 것이 보여질 수 있다. 도 4b에서, 가드 링(130A)은 단면이 정사각형인 반면, 도 4c에서, 가드 링(130A)은 단면이 원형이다. 도 4d는 가드 링(130A)의 단면이 팔각형인 실시예를 예시한다. 도 4e는 가드 링(130A)의 단면이 육각형인 실시예를 예시한다. 금속화된 실리콘 관통 비아 개구(116)는 도 4a 내지 도 4e에서 도시된 바와 같이 중실(solid)일 수 있고, 다른 실시예들에서, 실리콘 관통 비아 개구(116)는 중앙이 중공(hollow)일 수 있다. 도 4a 내지 도 4e는 가드 링 단면들의 예시들일 뿐이며 한정시키려고 의미한 것은 아니다. 도 4b, 도 4c, 도 4d, 및 도 4e에서, TSV와 가드 링(130A)의 내주부 또는 내면 사이의 공간은 도 2g에서의 치수(Wr)이다.
가드 링(130A)과 금속화된 실리콘 관통 비아 개구(116)의 치수들은 반도체 칩의 설계 요건들에 따라 달라질 것이다. 일 실시예의 예시에서, 제한이 아닌 예시의 목적으로, 금속화된 실리콘 관통 비아 개구(116)는 약 1마이크로미터 내지 3마이크로미터의 범위의 외경(outside diameter)을 가질 수 있다. 일부 실시예들에서, 가드 링(130A)(도 4b)은 약 2마이크로미터 내지 4마이크로미터의 범위의 외경을 가질 수 있다. 일부 실시예들에서, 가드 링(130A)은 1.5마이크로미터 내지 3마이크로미터의 범위의 내경(inner diameter)을 갖는다. 일부 실시예들에서, 가드 링 엘리먼트(131c)의 대향면들(164) 또는 대향면들(160)과 금속화된 실리콘 관통 비아 개구(116) 사이의 거리는 약 0.2마이크로미터 내지 0.5마이크로미터의 범위에 있다. 가드 링 엘리먼트(131c)가 원형일 때, 이는 직경이 약 2.5마이크로미터 내지 3.5마이크로미터의 범위인 가드 링 내의 개구를 초래한다. 일부 실시예들에서, Wa 대 가드 링 엘리먼트(131c)의 내경의 비는 약 1 내지 1.5이다. Wa 대 가드 링 엘리먼트(131c)의 내경의 비가 약 1 미만인 경우, 가드 링 엘리먼트(131c)는 TSV의 형성 동안에 형성될 수 있는 물로부터 BEOL 배선을 격리시키는 데 효과적이지 않을 수 있다. Wa 대 가드 링 엘리먼트(131c)의 내경의 비가 약 1.5보다 크면, 가드 링 엘리먼트(131c)의 배선 부분은 BEOL 배선(114)의 인접한 배선 엘리먼트들에 너무 가까워지고, BEOL 배선 피처들 간의 원치 않는 전기 경로의 형성의 가능성을 증가시킨다. 일부 실시예들에서, Wb 대 가드 링 엘리먼트(131c)의 내경의 비는 1보다 약간 더 크다. Wb 대 가드 링 엘리먼트(131c)의 내경의 비가 1 미만인 경우, 가드 링 엘리먼트(131c)는 TSV의 형성 동안에 형성될 수 있는 물로부터 BEOL 배선을 격리시키는 데 효과적이지 않을 수 있다.
다음으로, 실리콘 관통 비아 및 가드 링을 갖는 반도체 물품을 형성하는 방법(500)이 도 5 및 도 6a 내지 도 6e를 참조하여 설명될 것이다. 방법의 제1 단계에서, 도 5에서의 박스(40) 및 도 6a에서 표시된 바와 같이, 반도체 기판(112)이 제공된다. 즉, 트랜지스터, 캐패시터 등과 같은 필요한 반도체 소자들 모두를 갖는 반도체 웨이퍼가 준비된다. 반도체 웨이퍼의 일부만이 도 6a에서는 도시되어 있음을 이해해야 한다. 다음으로, 도 5에서의 박스(42) 및 도 6b에서 표시된 바와 같이, 금속화부(140) 및 유전체 물질(142)을 포함하는 제1 BEOL 배선층(114A)이 형성된다. BEOL 배선층(114A)은 BEOL 배선(114)에서 전기적 기능을 수행하거나 수행하지 않을 수 있는 가드 링 부분(144)을 포함한다는 점에 유의한다. BEOL 배선층(114A)의 형성은 비아 부분(140a) 및 배선 부분(140b)의 형성을 포함한다. 비아 부분(140a) 및 배선 부분(140b)이 형성될 때, 비아 부분(144a) 및 배선 부분(144b) 또는 가드 링(144)이 형성된다. 이들 피처들 및 후속 BEOL 배선층들 및 가드 링 부분들은 공지된 방법을 사용하여 형성된다. 도 5에서의 박스(44) 및 도 6c에서 표시된 바와 같이, 반도체 칩의 설계 요건들을 충족시키기 위해 미리결정된 수의 BEOL 배선층들이 형성될 때까지 추가적인 BEOL 배선층들이 형성된다. 도 6c에서 도시된 바와 같이, 하나의 추가적인 BEOL 배선층들(114C)이 형성되어 있지만, 실제로는 일반적으로 도 6c 내지 도 6e에서 파선으로 표시된 바와 같이 더 많은 이러한 BEOL 배선층들이 형성될 것이다. 각 BEOL 배선층의 가드 링 부분들(144)은 선행하는 BEOL 배선층의 가드 링 부분(144)의 최상부 상에 적층된다는 점에 유의해야 한다. 다양한 가드 링 부분들(144)은 위에서 논의된 바와 같이, 예를 들어 정사각형, 직사각형, 원형 또는 다른 형상을 형성한다. 가드 링 부분들(144) 내에는 실리콘 관통 비아 개구(116)가 형성될 개구 영역(146)이 있다. 도 5의 박스(46) 및 도 6에서 표시된 바와 같이, 실리콘 관통 비아 개구(116)는 개구 영역(146) 내(및 가드 링 부분들(144) 내)에 형성되고 절연층(118)은 실리콘 관통 비아 개구(116)의 표면들 상에 형성된다. 마지막으로, 도 5의 박스(48) 및 도 6e에서 표시된 바와 같이, 실리콘 관통 비아 개구(116)는 선택적인 라이너층(124) 및 금속화부(122)로 금속화된다. 그런 후 반도체 처리는 통상적인 방식으로 진행된다.
전술한 다양한 실시예들은 결합되어 추가적인 실시예들을 제공할 수 있다. 실시예들의 양태들은 다른 추가적인 실시예들을 제공하기 위해 다양한 특허들, 출원들, 및 공보들의 개념들을 활용하도록, 필요한 경우 수정될 수 있다.
이러한 변경 및 다른 변경은 상기 상세한 설명에 비추어 본 실시예들에 대해 행해질 수 있다. 일반적으로, 아래의 청구항들에서, 사용된 용어는 청구항들을 상세한 설명과 청구항에서 개시된 특정 실시예들로 제한시키는 것으로 해석되어서는 안되며, 그러한 청구항들이 권리를 갖는 등가물들의 전체 범위와 함께 모든 가능한 실시예들을 포함하는 것으로 해석되어야 한다. 따라서, 청구항들은 본 개시내용에 의해 제한되지 않는다.
실시예들
실시예 1. 반도체 구조물에 있어서,
반도체 물질을 포함하는 반도체 기판(112);
상기 반도체 기판 상의 백 엔드 오브 라인(back end of line; BEOL) 배선 부분(114) - 상기 백 엔드 오브 라인 배선 부분은 복수의 도전층들(128) 및 절연 물질(110)을 포함함 -;
상기 반도체 기판 내의 그리고 상기 백 엔드 오브 라인 배선 부분 내의 실리콘 관통 비아(through silicon via; TSV)(122); 및
상기 백 엔드 오브 라인 배선 부분 내에서 상기 실리콘 관통 비아를 둘러싸는 가드 링(130)
을 포함하고,
상기 가드 링은 복수의 가드 링 엘리먼트들(131a/131b)을 포함하고,
상기 복수의 가드 링 엘리먼트들 각각은 상부 섹션(156) 및 하부 섹션(158)을 포함하고,
상기 복수의 가드 링 엘리먼트들 각각의 상기 상부 섹션은 거리(Wa)만큼 이격되어 있는 제1 표면(160)과 제2 표면(162)을 포함하고,
상기 제1 표면은 상기 실리콘 관통 비아에 상기 제2 표면보다 더 가까이에 있고,
상기 복수의 가드 링 엘리먼트들 각각의 상기 하부 섹션은 거리(Wb)만큼 이격되어 있는 제1 표면(164)과 제2 표면(166)을 포함하고,
상기 하부 섹션의 상기 제1 표면은 상기 실리콘 관통 비아에 상기 하부 섹션의 상기 제2 표면보다 더 가까이에 있고,
Wa는 Wb와 상이하며,
상기 복수의 가드 링 엘리먼트들 중 하나의 가드 링 엘리먼트의 상기 제1 표면들은 상기 복수의 가드 링 엘리먼트들 중 다른 하나의 가드 링 엘리먼트의 상기 제1 표면들과 동일 평면 상에 있는 것인 반도체 구조물.
실시예 2. 실시예 1에 있어서, Wa는 Wb보다 더 큰 것인 반도체 구조물.
실시예 3. 실시예 1에 있어서, Wa는 Wb보다 10% 내지 80% 더 큰 것인 반도체 구조물.
실시예 4. 실시예 1에 있어서, 상기 복수의 가드 링 엘리먼트들 중 하나 이상은 상기 백 엔드 오브 라인 배선 부분의 전기 전도성 피처들과 전기적으로 연통하는 것인 반도체 구조물.
실시예 5. 실시예 1에 있어서, 제1 가드 링 엘리먼트는 상기 제1 가드 링 엘리먼트의 상기 제1 표면들에 평행한 치수(Ha)를 갖고, 제2 가드 링 엘리먼트는 상기 제2 가드 링 엘리먼트의 상기 제1 표면들에 평행한 치수(Hb)를 갖고, Ha는 Hb와 상이한 것인 반도체 구조물.
실시예 6. 실시예 1에 있어서, 상기 가드 링은 전기 전도성 물질을 포함한 것인 반도체 구조물.
실시예 7. 반도체 구조물을 형성하는 방법에 있어서,
반도체 물질을 포함하는 반도체 기판(112)을 제공하는 단계(40);
백 엔드 오브 라인(BEOL) 배선 부분(114)을 형성하는 단계(42) - 상기 백 엔드 오브 라인 배선 부분은 복수의 도전층들(128), 절연 물질(110), 및 가드 링(130)을 포함하고, 상기 가드 링은 복수의 가드 링 엘리먼트들(131a/131b)을 포함하고, 상기 복수의 가드 링 엘리먼트들 각각은 상부 섹션(156) 및 하부 섹션(158)을 포함하고, 상기 복수의 가드 링 엘리먼트들 각각의 상기 상부 섹션은 거리(Wa)만큼 이격되어 있는 제1 표면(160)과 제2 표면(162)을 포함하고, 상기 복수의 가드 링 엘리먼트들 각각의 상기 하부 섹션은 거리(Wb)만큼 이격되어 있는 제1 표면(164)과 제2 표면(166)을 포함하고, Wa는 Wb와 상이하며, 상기 복수의 가드 링 엘리먼트들 중 하나의 가드 링 엘리먼트의 상기 제1 표면들은 상기 복수의 가드 링 엘리먼트들 중 다른 하나의 가드 링 엘리먼트의 상기 제1 표면들과 동일 평면 상에 있음 -; 및
상기 반도체 기판 내에서 그리고 상기 백 엔드 오브 라인 배선 부분 내에서 상기 가드 링에 의해 둘러싸인 실리콘 관통 비아(TSV)(122)를 형성하는 단계(46)
를 포함하며,
상기 상부 섹션의 상기 제1 표면은 상기 실리콘 관통 비아(TSV)(122)에 상기 상부 섹션의 상기 제2 표면보다 더 가까이에 있고,
상기 하부 섹션의 상기 제1 표면은 상기 실리콘 관통 비아(TSV)(122)에 상기 하부 섹션의 상기 제2 표면보다 더 가까이에 있는 것인 반도체 구조물을 형성하는 방법.
실시예 8. 실시예 7에 있어서, 상기 실리콘 관통 비아를 형성하는 단계는, 상기 백 엔드 오브 라인 배선 부분으로부터 상기 절연 물질을 제거하는 단계, 상기 반도체 기판의 상기 반도체 물질의 일부를 제거하기 위한 제1 제거 단계를 수행하는 단계, 및 상기 반도체 기판의 상기 반도체 물질의 남아 있는 부분을 제거하기 위한 제2 제거 단계를 수행하는 단계를 포함한 것인 반도체 구조물을 형성하는 방법.
실시예 9. 실시예 8에 있어서, 상기 실리콘 관통 비아를 형성하는 단계는 상기 백 엔드 오브 라인 배선 부분 및 상기 반도체 기판 내에서 전기 전도성 실리콘 관통 비아를 형성하는 단계를 더 포함한 것인 반도체 구조물을 형성하는 방법.
실시예 10. 실시예 9에 있어서, 상기 반도체 기판 내의 상기 실리콘 관통 비아는, 상기 백 엔드 오브 라인 배선 부분에 인접하여 치수(Db)를 그리고 상기 백 엔드 오브 라인 배선 부분에 인접한 표면과는 반대측의 상기 반도체 기판의 표면에 인접하여 상기 반도체 기판 내 치수(Dc)를 가지며, Db는 Dc보다 더 큰 것인 반도체 구조물을 형성하는 방법.
실시예 11. 실시예 7에 있어서, 상기 백 엔드 오브 라인 배선 부분의 상기 복수의 도전층들 중 적어도 하나와 상기 가드 링의 적어도 일부는 동시에 형성되는 것인 반도체 구조물을 형성하는 방법.
실시예 12. 실시예 8에 있어서, 제1 가드 링 엘리먼트는 상기 제1 가드 링 엘리먼트의 상기 제1 표면들에 평행한 치수(Ha)를 갖고, 제2 가드 링 엘리먼트는 상기 제2 가드 링 엘리먼트의 상기 제1 표면들에 평행한 치수(Hb)를 갖고, Ha는 Hb와 상이한 것인 반도체 구조물을 형성하는 방법.
실시예 13. 실시예 7에 있어서, Wa는 Wb보다 더 큰 것인 반도체 구조물을 형성하는 방법.
실시예 14. 소자에 있어서,
반도체 물질을 포함하는 반도체 기판(112);
상기 반도체 기판 상의 백 엔드 오브 라인(BEOL) 배선 부분(114) - 상기 백 엔드 오브 라인 배선 부분은 복수의 도전층들(128) 및 절연 물질(110)을 포함함 -;
상기 반도체 기판 내 그리고 상기 백 엔드 오브 라인 배선 부분 내에 있는 실리콘 관통 비아(TSV)(122) - 상기 반도체 기판 내의 상기 실리콘 관통 비아는 상기 백 엔드 오브 라인 배선 부분에 인접하여 치수(Db)를 그리고 상기 백 엔드 오브 라인 배선 부분에 인접한 표면과는 반대측의 상기 반도체 기판의 표면에 인접하여 상기 반도체 기판 내 치수(Dc)를 갖고, Db는 Dc보다 더 큼 -; 및
상기 백 엔드 오브 라인 배선 부분 내에서 상기 실리콘 관통 비아를 둘러싸는 가드 링(130)
을 포함하고,
상기 가드 링은 복수의 가드 링 엘리먼트들(131a/131b)을 포함하고,
상기 복수의 가드 링 엘리먼트들 각각은 상부 섹션(156) 및 하부 섹션(158)을 포함하고,
상기 복수의 가드 링 엘리먼트들 각각의 상기 상부 섹션은 거리(Wa)만큼 이격되어 있는 제1 표면(160)과 제2 표면(162)을 포함하고,
상기 제1 표면은 상기 실리콘 관통 비아에 상기 제2 표면보다 더 가까이에 있고,
상기 복수의 가드 링 엘리먼트들 각각의 상기 하부 섹션은 거리(Wb)만큼 이격되어 있는 제1 표면(164)과 제2 표면(166)을 포함하고,
상기 하부 섹션의 상기 제1 표면은 상기 실리콘 관통 비아에 상기 하부 섹션의 상기 제2 표면보다 더 가까이에 있고,
Wa는 Wb와 상이한 것인 소자.
실시예 15. 실시예 14에 있어서, 상기 복수의 가드 링 엘리먼트들 중 하나의 가드 링 엘리먼트의 상기 제1 표면들은 상기 복수의 가드 링 엘리먼트들 중 다른 하나의 가드 링 엘리먼트의 상기 제1 표면들과 동일 평면 상에 있는 것인 소자.
실시예 16. 실시예 14에 있어서, 상기 가드 링은 Cu 또는 Al을 포함한 것인 소자.
실시예 17. 실시예 14에 있어서, Wa는 Wb보다 더 큰 것인 소자.
실시예 18. 실시예 14에 있어서, 상기 복수의 가드 링 엘리먼트들 중 하나 이상은 상기 백 엔드 오브 라인 배선 부분의 전기 전도성 피처들과 전기적으로 연통하는 것인 소자.
실시예 19. 실시예 14에 있어서, 제1 가드 링 엘리먼트는 상기 제1 가드 링 엘리먼트의 상기 제1 표면들에 평행한 치수(Ha)를 갖고, 제2 가드 링 엘리먼트는 상기 제2 가드 링 엘리먼트의 상기 제1 표면들에 평행한 치수(Hb)를 갖고, Ha는 Hb와 상이한 것인 소자.
실시예 20. 실시예 14에 있어서, 상기 복수의 가드 링 엘리먼트들 중 세 개 이상의 가드 링 엘리먼트들의 상기 제1 표면들은 서로 동일 평면 상에 있는 것인 소자.

Claims (10)

  1. 반도체 구조물에 있어서,
    반도체 물질을 포함하는 반도체 기판(112);
    상기 반도체 기판 상의 백 엔드 오브 라인(back end of line; BEOL) 배선 부분(114) - 상기 백 엔드 오브 라인 배선 부분은 복수의 도전층들(128) 및 절연 물질(110)을 포함함 -;
    상기 반도체 기판 내의 그리고 상기 백 엔드 오브 라인 배선 부분 내의 실리콘 관통 비아(through silicon via; TSV)(122); 및
    상기 백 엔드 오브 라인 배선 부분 내에서 상기 실리콘 관통 비아를 둘러싸는 가드 링(130)
    을 포함하고,
    상기 가드 링은 복수의 가드 링 엘리먼트들(131a/131b)을 포함하고,
    상기 복수의 가드 링 엘리먼트들 각각은 상부 섹션(156) 및 하부 섹션(158)을 포함하고,
    상기 복수의 가드 링 엘리먼트들 각각의 상기 상부 섹션은 거리(Wa)만큼 이격되어 있는 제1 표면(160)과 제2 표면(162)을 포함하고,
    상기 제1 표면은 상기 실리콘 관통 비아에 상기 제2 표면보다 더 가까이에 있고,
    상기 복수의 가드 링 엘리먼트들 각각의 상기 하부 섹션은 거리(Wb)만큼 이격되어 있는 제1 표면(164)과 제2 표면(166)을 포함하고,
    상기 하부 섹션의 상기 제1 표면은 상기 실리콘 관통 비아에 상기 하부 섹션의 상기 제2 표면보다 더 가까이에 있고,
    Wa는 Wb와 상이하며,
    상기 복수의 가드 링 엘리먼트들 중 하나의 가드 링 엘리먼트의 상기 제1 표면들은 상기 복수의 가드 링 엘리먼트들 중 다른 하나의 가드 링 엘리먼트의 상기 제1 표면들과 동일 평면 상에 있는 것인 반도체 구조물.
  2. 제1항에 있어서,
    Wa는 Wb보다 더 큰 것인 반도체 구조물.
  3. 제1항에 있어서,
    Wa는 Wb보다 10% 내지 80% 더 큰 것인 반도체 구조물.
  4. 제1항에 있어서,
    상기 복수의 가드 링 엘리먼트들 중 하나 이상은 상기 백 엔드 오브 라인 배선 부분의 전기 전도성 피처들과 전기적으로 연통하는 것인 반도체 구조물.
  5. 제1항에 있어서,
    제1 가드 링 엘리먼트는 상기 제1 가드 링 엘리먼트의 상기 제1 표면들에 평행한 치수(Ha)를 갖고,
    제2 가드 링 엘리먼트는 상기 제2 가드 링 엘리먼트의 상기 제1 표면들에 평행한 치수(Hb)를 갖고,
    Ha는 Hb와 상이한 것인 반도체 구조물.
  6. 제1항에 있어서,
    상기 가드 링은 전기 전도성 물질을 포함한 것인 반도체 구조물.
  7. 반도체 구조물을 형성하는 방법에 있어서,
    반도체 물질을 포함하는 반도체 기판(112)을 제공하는 단계(40);
    백 엔드 오브 라인(BEOL) 배선 부분(114)을 형성하는 단계(42) - 상기 백 엔드 오브 라인 배선 부분은 복수의 도전층들(128), 절연 물질(110), 및 가드 링(130)을 포함하고, 상기 가드 링은 복수의 가드 링 엘리먼트들(131a/131b)을 포함하고, 상기 복수의 가드 링 엘리먼트들 각각은 상부 섹션(156) 및 하부 섹션(158)을 포함하고, 상기 복수의 가드 링 엘리먼트들 각각의 상기 상부 섹션은 거리(Wa)만큼 이격되어 있는 제1 표면(160)과 제2 표면(162)을 포함하고, 상기 복수의 가드 링 엘리먼트들 각각의 상기 하부 섹션은 거리(Wb)만큼 이격되어 있는 제1 표면(164)과 제2 표면(166)을 포함하고, Wa는 Wb와 상이하며, 상기 복수의 가드 링 엘리먼트들 중 하나의 가드 링 엘리먼트의 상기 제1 표면들은 상기 복수의 가드 링 엘리먼트들 중 다른 하나의 가드 링 엘리먼트의 상기 제1 표면들과 동일 평면 상에 있음 -; 및
    상기 반도체 기판 내에서 그리고 상기 백 엔드 오브 라인 배선 부분 내에서 상기 가드 링에 의해 둘러싸인 실리콘 관통 비아(TSV)(122)를 형성하는 단계(46)
    를 포함하며,
    상기 상부 섹션의 상기 제1 표면은 상기 실리콘 관통 비아(TSV)(122)에 상기 상부 섹션의 상기 제2 표면보다 더 가까이에 있고,
    상기 하부 섹션의 상기 제1 표면은 상기 실리콘 관통 비아(TSV)(122)에 상기 하부 섹션의 상기 제2 표면보다 더 가까이에 있는 것인 반도체 구조물을 형성하는 방법.
  8. 소자에 있어서,
    반도체 물질을 포함하는 반도체 기판(112);
    상기 반도체 기판 상의 백 엔드 오브 라인(BEOL) 배선 부분(114) - 상기 백 엔드 오브 라인 배선 부분은 복수의 도전층들(128) 및 절연 물질(110)을 포함함 -;
    상기 반도체 기판 내 그리고 상기 백 엔드 오브 라인 배선 부분 내에 있는 실리콘 관통 비아(TSV)(122) - 상기 반도체 기판 내의 상기 실리콘 관통 비아는 상기 백 엔드 오브 라인 배선 부분에 인접하여 치수(Db)를 그리고 상기 백 엔드 오브 라인 배선 부분에 인접한 표면과는 반대측의 상기 반도체 기판의 표면에 인접하여 상기 반도체 기판 내 치수(Dc)를 갖고, Db는 Dc보다 더 큼 -; 및
    상기 백 엔드 오브 라인 배선 부분 내에서 상기 실리콘 관통 비아를 둘러싸는 가드 링(130)
    을 포함하고,
    상기 가드 링은 복수의 가드 링 엘리먼트들(131a/131b)을 포함하고,
    상기 복수의 가드 링 엘리먼트들 각각은 상부 섹션(156) 및 하부 섹션(158)을 포함하고,
    상기 복수의 가드 링 엘리먼트들 각각의 상기 상부 섹션은 거리(Wa)만큼 이격되어 있는 제1 표면(160)과 제2 표면(162)을 포함하고,
    상기 제1 표면은 상기 실리콘 관통 비아에 상기 제2 표면보다 더 가까이에 있고,
    상기 복수의 가드 링 엘리먼트들 각각의 상기 하부 섹션은 거리(Wb)만큼 이격되어 있는 제1 표면(164)과 제2 표면(166)을 포함하고,
    상기 하부 섹션의 상기 제1 표면은 상기 실리콘 관통 비아에 상기 하부 섹션의 상기 제2 표면보다 더 가까이에 있고,
    Wa는 Wb와 상이한 것인 소자.
  9. 제8항에 있어서,
    상기 복수의 가드 링 엘리먼트들 중 하나의 가드 링 엘리먼트의 상기 제1 표면들은 상기 복수의 가드 링 엘리먼트들 중 다른 하나의 가드 링 엘리먼트의 상기 제1 표면들과 동일 평면 상에 있는 것인 소자.
  10. 제8항에 있어서,
    상기 가드 링은 Cu 또는 Al을 포함한 것인 소자.
KR1020220017546A 2021-02-10 2022-02-10 실리콘 관통 비아, 가드 링을 갖는 소자 및 그 제조 방법 KR20220115542A (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202163148079P 2021-02-10 2021-02-10
US63/148,079 2021-02-10
US17/481,003 2021-09-21
US17/481,003 US12046566B2 (en) 2021-02-10 2021-09-21 Devices with through silicon vias, guard rings and methods of making the same

Publications (1)

Publication Number Publication Date
KR20220115542A true KR20220115542A (ko) 2022-08-17

Family

ID=81993555

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020220017546A KR20220115542A (ko) 2021-02-10 2022-02-10 실리콘 관통 비아, 가드 링을 갖는 소자 및 그 제조 방법

Country Status (5)

Country Link
US (1) US12046566B2 (ko)
KR (1) KR20220115542A (ko)
CN (1) CN114649258A (ko)
DE (1) DE102022100366A1 (ko)
TW (1) TWI789173B (ko)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20220384414A1 (en) * 2021-05-28 2022-12-01 Taiwan Semiconductor Manufacturing Company Ltd. Layout design for header cell in 3d integrated circuits

Family Cites Families (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8232648B2 (en) 2010-06-01 2012-07-31 International Business Machines Corporation Semiconductor article having a through silicon via and guard ring
US9536829B2 (en) * 2014-09-11 2017-01-03 Internatonal Business Machines Corporation Programmable electrical fuse in keep out zone
US20160148863A1 (en) 2014-11-21 2016-05-26 International Business Machines Corporation Non-contiguous dummy structure surrounding through-substrate via near integrated circuit wires
WO2019147186A1 (en) * 2018-01-26 2019-08-01 Agency For Science, Technology And Research Electrical connection structure and method of forming the same

Also Published As

Publication number Publication date
CN114649258A (zh) 2022-06-21
DE102022100366A1 (de) 2022-08-11
TW202232660A (zh) 2022-08-16
US20220254739A1 (en) 2022-08-11
US12046566B2 (en) 2024-07-23
TWI789173B (zh) 2023-01-01

Similar Documents

Publication Publication Date Title
US20210074636A1 (en) Patterning approach for improved via landing profile
US10177028B1 (en) Method for manufacturing fully aligned via structures having relaxed gapfills
CN101510536B (zh) 半导体装置及半导体装置的制造方法
EP3407376A1 (en) Semiconductor device and method for manufacturing same
US6972253B2 (en) Method for forming dielectric barrier layer in damascene structure
KR101556474B1 (ko) 모니터 구조체
CN102024781A (zh) 集成电路结构
US9911653B2 (en) Low capacitance interconnect structures and associated systems and methods
US11955428B2 (en) Semiconductor structure and manufacturing method thereof
US9666529B2 (en) Method and structure to reduce the electric field in semiconductor wiring interconnects
WO2013137809A1 (en) Semiconductor devices with close-packed via structures having in-plane routing and method of making same
US10312181B2 (en) Advanced through substrate via metallization in three dimensional semiconductor integration
US9786605B1 (en) Advanced through substrate via metallization in three dimensional semiconductor integration
CN108183087B (zh) 用于形成应力降低装置的方法
KR20220115542A (ko) 실리콘 관통 비아, 가드 링을 갖는 소자 및 그 제조 방법
US6974770B2 (en) Self-aligned mask to reduce cell layout area
US11114338B2 (en) Fully aligned via in ground rule region
KR100973277B1 (ko) 반도체 소자의 금속배선 및 그 형성방법
US11990430B2 (en) Bonding structures of integrated circuit devices and method forming the same
KR20100026407A (ko) 반도체 소자의 금속배선 및 그 형성방법
KR20100028957A (ko) 반도체 소자의 제조방법
KR20090075502A (ko) 반도체 소자의 금속배선 및 그 형성방법
KR20100078970A (ko) 반도체 소자의 금속배선 및 그 형성방법

Legal Events

Date Code Title Description
E902 Notification of reason for refusal