US20060079095A1 - Method of removing a polymer coating from an etched trench - Google Patents

Method of removing a polymer coating from an etched trench Download PDF

Info

Publication number
US20060079095A1
US20060079095A1 US11/242,917 US24291705A US2006079095A1 US 20060079095 A1 US20060079095 A1 US 20060079095A1 US 24291705 A US24291705 A US 24291705A US 2006079095 A1 US2006079095 A1 US 2006079095A1
Authority
US
United States
Prior art keywords
etching
wafer
range
chamber
trench
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US11/242,917
Other languages
English (en)
Inventor
Darrell McReynolds
Kia Silverbrook
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Silverbrook Research Pty Ltd
Original Assignee
Silverbrook Research Pty Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from AU2004905800A external-priority patent/AU2004905800A0/en
Application filed by Silverbrook Research Pty Ltd filed Critical Silverbrook Research Pty Ltd
Assigned to SILVERBROOK RESEARCH PTY LTD reassignment SILVERBROOK RESEARCH PTY LTD ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: MCREYNOLDS, DARRELL LARUE, SILVERBROOK, KIA
Publication of US20060079095A1 publication Critical patent/US20060079095A1/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/14Structure thereof only for on-demand ink jet heads
    • B41J2/14016Structure of bubble jet print heads
    • B41J2/14088Structure of heating means
    • B41J2/14112Resistive element
    • B41J2/1412Shape
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1601Production of bubble jet print heads
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1623Manufacturing processes bonding and adhesion
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1626Manufacturing processes etching
    • B41J2/1628Manufacturing processes etching dry etching
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/1631Manufacturing processes photolithography
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B41PRINTING; LINING MACHINES; TYPEWRITERS; STAMPS
    • B41JTYPEWRITERS; SELECTIVE PRINTING MECHANISMS, i.e. MECHANISMS PRINTING OTHERWISE THAN FROM A FORME; CORRECTION OF TYPOGRAPHICAL ERRORS
    • B41J2/00Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed
    • B41J2/005Typewriters or selective printing mechanisms characterised by the printing or marking process for which they are designed characterised by bringing liquid or particles selectively into contact with a printing material
    • B41J2/01Ink jet
    • B41J2/135Nozzles
    • B41J2/16Production of nozzles
    • B41J2/1621Manufacturing processes
    • B41J2/164Manufacturing processes thin film formation
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C1/00Manufacture or treatment of devices or systems in or on a substrate
    • B81C1/00841Cleaning during or after manufacture
    • B81C1/00849Cleaning during or after manufacture during manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2201/00Specific applications of microelectromechanical systems
    • B81B2201/05Microfluidics
    • B81B2201/052Ink-jet print cartridges
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81BMICROSTRUCTURAL DEVICES OR SYSTEMS, e.g. MICROMECHANICAL DEVICES
    • B81B2203/00Basic microelectromechanical structures
    • B81B2203/03Static structures
    • B81B2203/0323Grooves
    • B81B2203/033Trenches
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0111Bulk micromachining
    • B81C2201/0112Bosch process
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B81MICROSTRUCTURAL TECHNOLOGY
    • B81CPROCESSES OR APPARATUS SPECIALLY ADAPTED FOR THE MANUFACTURE OR TREATMENT OF MICROSTRUCTURAL DEVICES OR SYSTEMS
    • B81C2201/00Manufacture or treatment of microstructural devices or systems
    • B81C2201/01Manufacture or treatment of microstructural devices or systems in or on a substrate
    • B81C2201/0101Shaping material; Structuring the bulk substrate or layers on the substrate; Film patterning
    • B81C2201/0128Processes for removing material
    • B81C2201/013Etching
    • B81C2201/0132Dry etching, i.e. plasma etching, barrel etching, reactive ion etching [RIE], sputter etching or ion milling

Definitions

  • This invention relates to a process for modifying sidewalls of a trench etched into a semiconductor wafer. It has been developed primarily for incorporation into a printhead integrated circuit manufacturing process in order to provide an ink channel having improved surface properties and/or improved surface profile. However, it may equally be incorporated into any etching process.
  • MEMS Microelectromechanical Systems
  • MEMS devices have found applications in a wide variety of fields, such as in physical, chemical and biological sensing devices.
  • One important application of MEMS devices is in inkjet printheads, where micro-scale actuators for inkjet nozzles may be manufactured using MEMS techniques.
  • the present Applicant has developed printheads incorporating MEMS ink ejection devices and these are the subject of a number of patents and patent applications listed in the Cross References section above and all of which are incorporated herein by reference.
  • MEMS inkjet printhead (“MEMJET printhead”) is comprised of a plurality of integrated circuits, with each integrated circuit having several thousand nozzles.
  • Each nozzle comprises an actuator for ejecting ink, which may be, for example, a thermal bend actuator (e.g. U.S. Pat. No. 6,322,195) or a bubble-forming heater element actuator (e.g. U.S. Pat. No. 6,672,709).
  • the integrated circuits are manufactured using MEMS techniques, meaning that a high nozzle density and, hence, high resolution printheads can be mass-produced at relatively low cost.
  • Etch depths of about 3 ⁇ m to 10 ⁇ m may be termed “deep etches”, whereas etch depths of more than about 10 ⁇ m may be termed “ultradeep etches”.
  • MEMS printhead integrated circuits typically require delivery of ink to each nozzle through individual ink supply channels having a diameter of about 20 ⁇ m. These ink channels are typically etched through wafers having a thickness of about 200 ⁇ m, and therefore place considerable demands on the etching method employed. It is especially important that each ink channel is perpendicular to the wafer surface and does not contain kinks, sidewall projections (e.g. grassing) or angular junctions, which can interfere with the flow of ink.
  • the wafer typically has a thickness “B” of about 200 ⁇ m, whilst the nozzle chamber typically occupies a thickness “A” of about 20 ⁇ m.
  • the nozzle chamber 3 has an inlet 8 , which joins an ink supply channel (not shown in FIG. 1 ) in the silicon wafer 5 .
  • an ink supply channel 6 is formed in the wafer 5 by first etching a trench through the CMOS metallization layer of a TEOS interconnect 7 and partially through the wafer 5 from the ink ejection side 20 of the wafer. Once formed, the trench is plugged with photoresist 10 whilst nozzle structures are formed on the ink ejection side 20 of the wafer. After formation of the nozzle arrangement 1 , the ink supply channel 6 is formed by ultradeep etching from the ink supply side 30 of the wafer to and past the photresist plug 10 .
  • FIG. 3 the photoresist plug 10 is finally stripped away to form the inlet 8 .
  • the inlet 8 provides fluid connection between the ink supply channel 6 and the nozzle chamber 3 .
  • FIGS. 2 and 3 also shows the CMOS drive circuitry 9 , which is provided between the wafer 5 and the interconnect 7 .
  • the “back-etching” of the ink supply channel avoids filling and removing an entire 200 ⁇ m long ink supply channel with resist whilst nozzle structures in the wafer are being lithographically formed.
  • the mask on the ink supply side needs to be carefully aligned so that the etched channels meet the trenches plugged with photoresist, and do not damage the drive circuitry 9 .
  • the etching needs to be perpendicular and anisotropic to a depth of about 200 ⁇ m.
  • etching ultradeep trenches into silicon are known in the art. All these methods involve deep reactive ion etching (DRIE) using a gas plasma.
  • DRIE deep reactive ion etching
  • the semiconductor substrate, with a suitable mask disposed thereon, is placed on a lower electrode in a plasma reactor, and exposed to an ionized gas plasma formed from a mixture of gases.
  • the ionized plasma gases (usually positively charged) are accelerated towards the substrate by a biasing voltage applied to the electrode.
  • the plasma gases etch the substrate either by physical bombardment, chemical reaction or a combination of both.
  • Etching of silicon is usually ultimately achieved by formation of volatile silicon halides, such as SiF 4 , which are carried away from the etch front by a light inert carrier gas, such as helium.
  • Anisotropic etching is usually achieved by depositing a passivation layer onto the base and sidewalls of the trench as it is being formed, and selectively etching the base of the trench using the gas plasma.
  • a first polymer deposition step deposits a polymer onto the base and sidewalls of the trench.
  • the polymer is deposited by a gas plasma formed from a fluorocarbon gas (e.g. CHF 3 , C 4 F 8 or C 2 F 4 ) in the presence or in the absence of an inert gas.
  • a fluorocarbon gas e.g. CHF 3 , C 4 F 8 or C 2 F 4
  • the plasma gas mix is changed to SF 6 /Ar.
  • the polymer deposited on the base of the trench is quickly broken up by ion assistance in the etching step, while the sidewalls remain protected. Hence, anisotropic etching may be achieved.
  • a major disadvantage of the Bosch process is that polymer deposition and etching steps need to be alternated, which means continuously alternating the gas composition of the plasma, thereby slowing etch rates. This alternation, in turn, leads to uneven trench sidewalls, characterized by scalloped surface formations.
  • a further disadvantage of the Bosch process is that it leaves a hydrophobic fluorocarbon polymer coating (‘Bosch polymer’) on the trench sidewalls.
  • ‘Bosch polymer’ hydrophobic fluorocarbon polymer coating
  • inkjet nozzles already fabricated the frontside of the wafer.
  • nozzles are first formed on a frontside of wafer and then ink supply channels are etched from a backside of the wafer.
  • backside processing steps e.g. wafer grinding, etching
  • the frontside of the wafer, having a protective photoresist coating is usually attached to a handle wafer using a thermal release tape.
  • the thermal release tape comprises a film having a thermal release adhesive on one side. The thermal release adhesive conveniently allows the silicon wafer to be detached from the handle wafer by controlled heating after the completion of backside processing steps.
  • FIGS. 2 and 3 show an idealized fabrication process in which the etch front continues to etch anistropically and flush against the photoresist plug 10 when the etch front meets the photoresist.
  • the etch flares radially outwards, leaving a spiked circumferential rim 13 around the photoresist plug.
  • the radially flared etch terminus 12 is undesirable in the final printhead integrated circuit when the photoresist is removed ( FIG. 5 ).
  • the flared etch terminus 12 acts as a pocket, which can trap slow-moving ink or gas bubbles. This can lead to disruption of the flow of ink from the ink channel 6 to the inlet 8 and the nozzle downstream.
  • the spiked rim 13 which faces the flow of oncoming ink, is relatively weakly supported by the bulk wafer 5 and can easily break off into one or more slivers.
  • the creation of slivers in the ink flow is highly undesirable and will typically result in failure of the nozzle downstream.
  • a method of removing a polymeric coating from sidewalls of an etched trench defined in a silicon wafer comprising etching said wafer in a biased plasma etching chamber using an O 2 plasma, wherein said chamber temperature is in the range of 90 to 180 ° C.
  • a method of etching a trench in a silicon wafer comprising the steps of:
  • the present invention provides a process for facilitating modification of an etched trench, the process comprising:
  • the present invention provides a method of fabricating an inkjet printhead integrated circuit comprising a plurality of nozzles, ejection actuators, associated drive circuitry and ink supply channels, the method comprising the steps of:
  • the present invention provides an inkjet printhead integrated circuit fabricated by the method described above.
  • the method according to the first and second aspects of the present application advantageously allow a hydrophobic polymeric sidewall residue (e.g. ‘Bosch polymer’) to be removed from a trench, without overheating the silicon wafer.
  • a hydrophobic polymeric sidewall residue e.g. ‘Bosch polymer’
  • Overheating of the silicon wafer may cause damage of sensitive MEMS structures already formed on the wafer by stresses exerted, for example, by photoresist expansion.
  • overheating typically causes delimination of the wafer from a handle wafer to which the silicon wafer is usually attached. Accordingly, it is as advantage of the present invention that polymeric residues can be removed without using potentially damaging EKCTM cleaning or O 2 plasma ashing.
  • the polymeric coating is generally replaced by more hydrophilic silicon oxide layer.
  • the O 2 plasma has sufficient energy to remove polymeric residues in deep (e.g. over 100 micron deep) trenches at a temperature lower than the release temperature of standard thermal release tape.
  • the present invention provides a method for facilitating its removal in a post-etching step.
  • a portion of the photoresist plug is removed so as to expose the circumferential rim formed around the plug during deep or ultradeep etching. Once exposed, the circumferential rim may be smoothed or rounded by ion milling.
  • printheads made by the process of the present invention generally exhibit improved ink flow through their ink supply channels and minimize the risk of nozzle failure caused by slivers of wafer blocking or damaging the nozzles.
  • the oxygen plasma etch is typically performed in a plasma etching reactor, such as an inductively coupled plasma (ICP) etching reactor.
  • a plasma etching reactor such as an inductively coupled plasma (ICP) etching reactor.
  • ICP inductively coupled plasma
  • plasma etching reactor and “plasma etching chamber” are entirely equivalent terms of art.
  • Plasma etching reactors are well known in the art and are commercially available from various sources (e.g. Surface Technology Systems, PLC).
  • the etching reactor comprises a chamber formed from aluminium, glass or quartz, which contains a pair of parallel electrode plates.
  • the present invention is suitable for use with any type of plasma etching reactor.
  • a radiofrequency (RF) energy source is used to ionize a gas mixture introduced into the chamber.
  • the resultant ionized gas plasma is accelerated towards a substrate disposed on a lower electrode (also referred to as an electrostatic chuck or a platen) by a biasing voltage.
  • the plasma etching chamber can be used for biased etches in which a gas plasma is directed towards a substrate.
  • control means are provided for controlling the ICP power, bias power, RF ionizing energy, substrate temperature, chamber pressure, chamber temperature etc.
  • the plasma etching chamber parameters may be optimized in order to achieve complete removal of polymer coatings from trench sidewalls.
  • the bias power is in the range of 10 to 100 W (preferably 30 to 70 W)
  • the ICP power is optionally in the range of 1000 to 3000 W (or 2000 to 3000 W)
  • the chamber temperature is optionally in the range of 90 to 180° C. (or 90 to 150° C., or 120 to 150° C.)
  • the O 2 flow rate is optionally in the range of 20 to 200 sccm (preferably 60 to 100 sccm)
  • the chamber pressure is optionally in the range of 5 to 200 mTorr (optionally 20 to 140 mTorr, or optionally 60 to 100 mTorr).
  • Etching times are typically in the range of 20 to 200 minutes, 30 to 200 minutes or 40 to 80 minutes.
  • the process removes a depth of about 1 to 15 ⁇ m, or optionally a depth of about 2 to 7 ⁇ m, from an exposed back surface of the photoresist plug.
  • the removed portion may be up to 10%, up to 20%, or up to 50% of the total plug volume.
  • the amount of photoresist removed by the process of the present invention will be sufficient to expose an inner surface of a circumferential rim formed around at least part of the original intact plug by a prior etching process.
  • the process of the invention includes the further step of ion milling exposed angular features, such as the circumferential rim described above.
  • angular surface features are tapered, smoothed and/or rounded by the ion milling.
  • ink flowing past these features approaches a curved surface rather than an angular surface, meaning that the ink can flow smoothly past, without generating excessive turbulence and/or air bubbles.
  • the resultant rounded, smoothed and/or tapered features are less frangible and are therefore less likely to cause nozzle failure by slivers breaking off and entering the nozzle chamber.
  • the ion milling is usually performed in a plasma etching reactor, such as the inductively coupled plasma etching reactor described above.
  • the ion milling is performed using a heavy inert gas selected from argon, krypton or xenon.
  • the inert gas is argon since this is widely available at relatively low cost, and, because of its relatively high mass, has excellent sputtering properties.
  • an argon ion plasma is generated in a plasma etching reactor, and the argon ions accelerated perpendicularly towards a silicon wafer having ink supply channels etched therein.
  • Plasma reactor parameters may be varied in order to achieve optimal ion milling of the wafer.
  • the bias power is in the range of 100 to 500 W (preferably 200 to 400 W)
  • the ICP power is in the range of 1000 to 3000 W (preferably about 2500 W)
  • the chamber temperature is in the range of 90 to 150° C. (preferably about 120° C.)
  • the Ar flow rate is in the range of 20 to 200 sccm (preferably 80 to 120 sccm). Milling times are typically in the range of 5 to 100 minutes, 10 to 60 minutes or 10 to 30 minutes.
  • the ion milling may be performed at any suitable pressure. Typically, the pressure will be in the range of 2 to 2000 mTorr. In other words, ion milling may be performed at low pressure (about 2 to 250 mTorr) or high pressure (about 250 to 2000 mTorr).
  • Low pressure ion milling has the advantage that most commercially available plasma etching reactors are configured for low pressure etching. Hence, low pressure ion milling does not require any special apparatus.
  • ion milling may also be performed at high pressure.
  • High pressure ion milling has the advantage that steeper tapering is usually obtainable.
  • the principle of using high pressure ion milling to produce steep taper angles may be understood as follows. Normally, sputter etching is performed at relatively low pressures (e.g. about 5 to 250 mTorr) to achieve high sputter etching efficiency. Such a low pressure produces a nearly collision-free path for silicon atoms sputtered from the surface, thereby optimizing etching efficiency.
  • U.S. Pat. No. 5,888,901 which is incorporated herein by reference, describes high pressure ion milling of a SiO 2 dielectric surface using argon as the sputtering gas. Whilst the method described in U.S. Pat. No. 5,888,901 is used for tapering a SiO 2 dielectric surface layer, rather than tapering angular surface features on the sidewalls of ultradeep channels etched into silicon, this method may be readily modified and applied to the process of the present invention.
  • Low pressure ion milling (e.g. 5 to 50 mTorr) is generally preferred in the present invention, because it is usually only necessary to round off angular sidewall features in order to achieve improved ink flow, rather than taper the whole sidewall feature. Moreover, low pressure ion milling does not require any special apparatus and can therefore be easily incorporated into a typical printhead fabrication process.
  • each ink supply channel has a depth in the range of 100 to 300 ⁇ m, optionally 150 to 250 ⁇ m, or optionally about 200 ⁇ m.
  • the width/length dimensions of the ink supply channel may vary considerably.
  • each ink supply is substantially cylindrical having a diameter in the range of 5 to 30 ⁇ m, optionally 14 to 28 ⁇ m, or optionally 17 to 25 ⁇ m. With such designs, etch flaring is usually significant as the etch front meets the frontside photoresist plug.
  • each ink supply channel has a width of 40 to 120 ⁇ m (or 60 to 100 ⁇ m), and a length of over 200 ⁇ m or over 500 ⁇ m.
  • etch flaring is usually insignificant due to dispersion of charge away from the etch front. Notwithstanding this avoidance of etch flaring, the present invention is still used to remove polymeric coatings from trench sidewalls by biased oxygen plasma etching.
  • each nozzle inlet has a depth in the range of 5 to 40 ⁇ m, optionally 10 to 30 ⁇ m, or optionally 15 to 25 ⁇ m.
  • each nozzle inlet is substantially cylindrical having a diameter in the range of 3 to 28 ⁇ m, optionally 8 to 24 ⁇ m, or optionally 12 to 20 ⁇ m.
  • each nozzle inlet is substantially cuboid having width/length dimensions in the range of 5 to 40 ⁇ m.
  • each ink supply channel has a larger diameter than its corresponding nozzle inlet, and the process of the present invention may be used to taper, smooth and/or round off shoulders defined by the junction of the inlet and the ink supply channel.
  • the present invention provides a process for facilitating modification of an etched trench, the process comprising:
  • the wafer comprises a plurality of etched trenches.
  • the biased oxygen plasma etch removes a depth of 1 to 15 ⁇ m from an exposed surface of the photoresist plug.
  • trench sidewalls are concomitantly hydrophilized by the biased oxygen plasma etch.
  • Optionally concomitant hydrophilization includes removal of a polymeric layer from the trench sidewalls.
  • the biased oxygen plasma etch is performed in a plasma etching reactor
  • the plasma etching reactor has a bias power in the range of 10 to 100 W.
  • the plasma etching reactor has a chamber pressure in the range of 20 to 140 mTorr.
  • Optionally forms part of a printhead fabrication process.
  • the etched trench is an ink supply channel for a printhead.
  • the ink supply channel has a depth in the range of 100 to 300 ⁇ m.
  • the present invention provides a method of fabricating an inkjet printhead integrated circuit comprising a plurality of nozzles, ejection actuators, associated drive circuitry and ink supply channels, the method comprising the steps of:
  • the angular sidewall features include a circumferential rim around at least a part of the photoresist plug, the circumferential rim being exposed by removing a portion of each plug.
  • the exposed angular sidewall features are modified by argon ion milling.
  • the argon ion milling smoothes and/or rounds the exposed angular sidewall features.
  • the argon ion milling is performed in a plasma etching reactor.
  • ink supply channel sidewalls are concomitantly hydrophilized by the biased oxygen plasma etch.
  • an inkjet printhead integrated circuit fabricated by the above-mentioned method.
  • a method of removing a polymeric coating from sidewalls of an etched trench defined in a silicon wafer comprising etching said wafer in a biased plasma etching chamber using an O 2 plasma, wherein a chamber temperature is in the range of 90 to 180° C.
  • said etching chamber is an inductively coupled plasma (ICP) etching chamber.
  • ICP inductively coupled plasma
  • an ICP power is in the range of 2000 to 3000 W.
  • said wafer is attached to a platen, and a biasing platen power is in the range of 10 to 100 W.
  • said chamber temperature is in the range of 120 to 150° C.
  • a chamber pressure is in the range of 30 to 120 mTorr.
  • a chamber pressure is in the range of 60 to 100 mTorr.
  • said platen has a temperature in the range of 5 to 20° C.
  • said platen is cooled using backside helium cooling.
  • an oxygen flow rate to said chamber is in the range of 20 to 200 sccm.
  • an etching time is in the range of 40 to 80 minutes.
  • said polymeric coating is a hydrophobic fluoropolymer.
  • said trench is an ink supply channel for an inkjet printhead.
  • said ink supply channel has a width in the range of 20 to 120 microns
  • said ink supply channel has a depth of at least 100 microns.
  • a frontside of said silicon wafer is attached to a handle wafer using adhesive tape, said etched trench being defined in a backside of said wafer.
  • said adhesive tape is thermal release tape.
  • said adhesive tape is unaffected by said oxygen plasma etching.
  • said oxygen plasma etching does not cause delamination of said handle wafer from said silicon wafer.
  • a method of etching a trench in a silicon wafer comprising the steps of:
  • FIG. 1 is a perspective view of a printhead nozzle arrangement for a printhead
  • FIG. 2 is cutaway perspective view of an idealized, partially fabricated printhead nozzle arrangement, with the actuator assembly removed and the ink supply channel exposed;
  • FIG. 3 is a cutaway perspective view of the printhead nozzle arrangement shown in FIG. 2 after stripping away the photoresist plug;
  • FIG. 4 is a cutaway perspective view of a partially fabricated prior art printhead nozzle arrangement, with the actuator assembly removed and the ink supply channel exposed;
  • FIG. 5 is a cutaway perspective view of the prior art printhead nozzle arrangement shown in FIG. 4 after stripping away the photoresist plug;
  • FIG. 6 is a cutaway perspective view of a partially fabricated printhead nozzle arrangement according to the present invention, with the actuator assembly removed and the ink supply channel exposed;
  • FIG. 7 is a cutaway perspective view of the partially fabricated printhead nozzle arrangement shown in FIG. 6 , after ion milling the circumferential rim;
  • FIG. 8 is a cutaway perspective view of the partially fabricated printhead nozzle arrangement shown in FIG. 6 , after stripping away the photoresist;
  • FIG. 9 is an SEM micrograph of a silicon wafer having 12 trenches back-etched to within 5 ⁇ m of corresponding front plugs;
  • FIG. 10 is a magnified SEM micrograph of a silicon wafer showing a trench back-etched 5 ⁇ m beyond a front plug;
  • FIG. 11 is an SEM micrograph of a trench after biased oxygen plasma etching according to the invention.
  • FIG. 12 is a magnified view of FIG. 11 ;
  • FIG. 13 is an SEM micrograph of a trench after argon ion milling.
  • FIG. 14 is a side view of a silicon wafer attached to glass handle wafer.
  • the frontside is coated with a protective layer of photoresist 51 and attached to a glass handle wafer 52 .
  • the glass handle wafer 52 is attached using a thermal release tape 53 having a permanent adhesive layer 54 bonded to the glass handle wafer 52 , and a thermal release adhesive layer 55 bonded to the protective photoresist 50 .
  • An outermost surface of the glass handle wafer 52 is metallized for attachment to an electrotstatic platen in a plasma etching chamber.
  • the wafer 5 is back-etched in a plasma etching chamber to define backside ink supply channels.
  • Any standard anisotropic DIRE process e.g. Bosch etch may be used for backside etching.
  • FIG. 4 there is shown a partially fabricated printhead integrated circuit after back-etching from the ink supply side 30 (backside) of the wafer 5 to and past the photoresist plug 10 .
  • the etch flares radially outwards to give a radially flared etch terminus 12 and a corresponding circumferential rim 13 around part of the plug.
  • the back-etched wafer shown in FIG. 4 is subjected to a biased oxygen plasma etch.
  • the biased oxygen plasma etch is performed in a plasma etching chamber using suitably controlled gas flow, biasing power, ICP power, chamber pressure and etching time.
  • the biased oxygen plasma etch removes a portion of the photoresist plug 10 . The portion removed is sufficient to expose the spiked circumferential rim 13 .
  • the biased oxygen plasma etch removes any hydrophobic fluorocarbon polymer from the sidewalls of the ink supply channel 6 , replacing it with a hydrophilic layer of SiO 2 .
  • the fluorocarbon polymer coating on the sidewalls of the ink supply channel 6 is typically formed during the anisotropic DIRE process.
  • the surface properties of the ink supply channel 6 are generally improved, without affecting the thermal release tape which secures the wafer 5 to the handle wafer 52 .
  • delamination is avoided.
  • the wafer 5 shown in FIG. 6 is subjected to argon ion milling in a plasma etching reactor.
  • argon ion milling the exposed circumferential rim 13 is smoothed down, which improves the robustness and surface profile of the ink supply channel 6 .
  • the extent to which the circumferential rim 13 is smoothed, rounded and/or tapered will depend on the pressure, bias power and/or the milling time in the plasma etching reactor.
  • the rim 13 may be either rounded down (as shown in FIG. 7 ) or fully tapered by argon ion milling. In either case, the removal of this spiked projection generally improves ink flow through the channel 6 and minimizes the likelihood of slivers breaking off and blocking the nozzle downstream.
  • the wafer shown in FIG. 7 is ashed, which completely strips away the photoresist plug 10 and provides fluid connection between the inlet 8 and the ink supply channel 6 .
  • a silicon wafer having a thickness of 200 ⁇ m was prepared as follows. Using a standard anisotropic DRIE process a trench, having a diameter of 14 ⁇ m and a depth of 28 ⁇ m, was etched into a frontside of the wafer. The trench was filled with photoresist to form a front plug. With the frontside of the wafer attached to a glass handle wafer, the backside is then etched to within 5 ⁇ m of the back surface of the front plug. Again, a standard anisotropic DRIE process is used for backside etching. The back-etched main trench had a depth of about 170 ⁇ m and a diameter of about 21 ⁇ m.
  • FIG. 9 is an SEM micrograph of the silicon wafer after back-etching to within 5 ⁇ m of the front plug.
  • FIG. 10 is an SEM micrograph of part of the trench after back-etching past the front plug. As shown in FIG. 10 , a spiked circumferential rim extends around the front plug, where the back-etch did not etch completely flush against the front plug.
  • a standard inductively coupled plasma DRIE reactor (“HRM Pro” tool, supplied by Surface Technology Systems) was configured as follows: ICP top power: 2500 W Bias power 50 W Plasma gas: O 2 Chamber temperature: 120° C. Cooling: Backside helium cooling, 9.5 Torr, 10° C. Chamber pressure: 80 mTorr O 2 flow rate: 80 sccm
  • FIGS. 11 and 12 are SEM micrographs of part of the silicon wafer, showing in detail the 7 ⁇ m portion of front plug removed by the oxygen plasma etch.
  • FIG. 12 also shows a spiked circumferential projection (formerly a rim around the front plug), having a thickness of 1.7 ⁇ m, extending towards the back side of the wafer.
  • the plasma reactor was reconfigured as follows: ICP top power: 2500 W Bias power 300 W Plasma gas: Ar Chamber temperature: 120° C. Cooling: Backside helium cooling, 9.5 Torr, 10° C. Chamber pressure: 10 mTorr O 2 flow rate: 100 sccm
  • FIG. 13 is an SEM micrograph of the resultant trench, which shows the spiked circumferential projection rounded down to a smooth surface.
  • the absence of spiked projections at the junctions of the front-etched and back-etched trenches significantly improves the surface profile of the resultant channel, once the photoresist has been stripped away.

Landscapes

  • Engineering & Computer Science (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Plasma & Fusion (AREA)
  • Particle Formation And Scattering Control In Inkjet Printers (AREA)
  • Drying Of Semiconductors (AREA)
  • ing And Chemical Polishing (AREA)
US11/242,917 2004-10-08 2005-10-05 Method of removing a polymer coating from an etched trench Abandoned US20060079095A1 (en)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
AU2004905800 2004-10-08
AU2004905800A AU2004905800A0 (en) 2004-10-08 Method and apparatus (MEMS26)

Publications (1)

Publication Number Publication Date
US20060079095A1 true US20060079095A1 (en) 2006-04-13

Family

ID=36142894

Family Applications (4)

Application Number Title Priority Date Filing Date
US11/242,917 Abandoned US20060079095A1 (en) 2004-10-08 2005-10-05 Method of removing a polymer coating from an etched trench
US11/242,916 Expired - Fee Related US7588693B2 (en) 2004-10-08 2005-10-05 Method of modifying an etched trench
US12/542,659 Expired - Fee Related US7837887B2 (en) 2004-10-08 2009-08-17 Method of forming an ink supply channel
US12/905,078 Abandoned US20110024389A1 (en) 2004-10-08 2010-10-15 Method of etching backside ink supply channels for an inkjet printhead

Family Applications After (3)

Application Number Title Priority Date Filing Date
US11/242,916 Expired - Fee Related US7588693B2 (en) 2004-10-08 2005-10-05 Method of modifying an etched trench
US12/542,659 Expired - Fee Related US7837887B2 (en) 2004-10-08 2009-08-17 Method of forming an ink supply channel
US12/905,078 Abandoned US20110024389A1 (en) 2004-10-08 2010-10-15 Method of etching backside ink supply channels for an inkjet printhead

Country Status (6)

Country Link
US (4) US20060079095A1 (zh)
EP (1) EP1800334A4 (zh)
JP (1) JP4638499B2 (zh)
KR (1) KR100857629B1 (zh)
CN (1) CN100565815C (zh)
WO (1) WO2006037151A2 (zh)

Cited By (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090203207A1 (en) * 2008-02-12 2009-08-13 Elpida Memory, Inc. Method for manufacturing semiconductor device
US20110028072A1 (en) * 2006-06-13 2011-02-03 Advanced Cardiovascular Systems, Inc. Surface Treatment of a Polymeric Stent
US20110024389A1 (en) * 2004-10-08 2011-02-03 Silverbrook Research Pty Ltd Method of etching backside ink supply channels for an inkjet printhead
US20110076853A1 (en) * 2009-09-28 2011-03-31 Magic Technologies, Inc. Novel process method for post plasma etch treatment
US9061091B2 (en) 2007-12-10 2015-06-23 Abbott Cardiovascular Systems Inc. Methods to improve adhesion of polymer coatings over stents
CN111834285A (zh) * 2020-07-20 2020-10-27 武汉新芯集成电路制造有限公司 半导体器件及其制造方法
US11666918B2 (en) 2020-03-06 2023-06-06 Funai Electric Co., Ltd. Microfluidic chip, head, and dispensing device for dispensing fluids containing an acidic component
DE102013223490B4 (de) 2013-11-18 2023-07-06 Robert Bosch Gmbh Verfahren zur Herstellung einer strukturierten Oberfläche

Families Citing this family (16)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200806567A (en) * 2006-07-26 2008-02-01 Touch Micro System Tech Method of deep etching
EP2121330A4 (en) * 2007-03-12 2013-01-23 METHOD FOR MANUFACTURING A PRINTING HEAD HAVING A HYDROPHOBIC INK EJECTION SIDE
US8043933B2 (en) * 2008-11-24 2011-10-25 Applied Materials, Inc. Integration sequences with top surface profile modification
US8293647B2 (en) * 2008-11-24 2012-10-23 Applied Materials, Inc. Bottom up plating by organic surface passivation and differential plating retardation
CN102456545A (zh) * 2010-10-21 2012-05-16 北京北方微电子基地设备工艺研究中心有限责任公司 图形化衬底的刻蚀方法
US9259932B2 (en) * 2011-05-27 2016-02-16 Hewlett-Packard Development Company, L.P. Assembly to selectively etch at inkjet printhead
US9000556B2 (en) 2011-10-07 2015-04-07 International Business Machines Corporation Lateral etch stop for NEMS release etch for high density NEMS/CMOS monolithic integration
US20130280831A1 (en) * 2012-04-24 2013-10-24 Kathleen M. Vaeth Permanently bonded fluid channel nozzle plate fabrication
CN103456623A (zh) * 2012-05-29 2013-12-18 上海宏力半导体制造有限公司 减少晶圆边缘聚合物沉积的刻蚀控制方法
JP6333055B2 (ja) * 2014-05-13 2018-05-30 キヤノン株式会社 基板加工方法および液体吐出ヘッド用基板の製造方法
JP6456049B2 (ja) 2014-06-16 2019-01-23 キヤノン株式会社 貫通基板の形成方法
US9586400B2 (en) 2014-12-09 2017-03-07 Canon Kabushiki Kaisha Liquid discharge head, liquid discharge apparatus, and method of manufacturing liquid discharge head
JP6562789B2 (ja) 2015-09-10 2019-08-21 キヤノン株式会社 除去対象物の除去方法
CN107271232A (zh) * 2017-05-08 2017-10-20 上海大学 低温烧结纳米银浆热导率测试样品的制备方法
TW202114873A (zh) * 2019-06-03 2021-04-16 愛爾蘭商滿捷特科技公司 處理mems晶圓的方法
CN115637432B (zh) * 2022-09-30 2023-08-22 东莞赛诺高德蚀刻科技有限公司 一种具有孔隙和高深宽比沟槽的工件制作方法及金属工件

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20010002135A1 (en) * 1998-03-02 2001-05-31 Milligan Donald J. Micromachined ink feed channels for an inkjet printhead
US20010006245A1 (en) * 1999-12-28 2001-07-05 Takashi Yunogami Manufacturing method of semiconductor integrated circuit device
US6277756B1 (en) * 1999-02-12 2001-08-21 Denso Corporation Method for manufacturing semiconductor device
US20020088478A1 (en) * 1997-02-14 2002-07-11 Degendt Stefan Method for removing organic contaminants from a semiconductor surface
US6492276B1 (en) * 1998-05-29 2002-12-10 Taiwan Semiconductor Manufacturing Company Hard masking method for forming residue free oxygen containing plasma etched layer
US6569777B1 (en) * 2002-10-02 2003-05-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma etching method to form dual damascene with improved via profile
US6583065B1 (en) * 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US20030116820A1 (en) * 1999-09-01 2003-06-26 Daubenspeck Timothy H. Post-fuse blow corrosion prevention structure for copper fuses
US20030211752A1 (en) * 2002-05-01 2003-11-13 Michael Rattner Method of smoothing a trench sidewall after a deep trench silicon etch process

Family Cites Families (19)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP3057461B2 (ja) * 1992-04-07 2000-06-26 日本プレシジョン・サーキッツ株式会社 アッシング装置
US5453157A (en) * 1994-05-16 1995-09-26 Texas Instruments Incorporated Low temperature anisotropic ashing of resist for semiconductor fabrication
US6686292B1 (en) * 1998-12-28 2004-02-03 Taiwan Semiconductor Manufacturing Company Plasma etch method for forming uniform linewidth residue free patterned composite silicon containing dielectric layer/silicon stack layer
AUPP922399A0 (en) * 1999-03-16 1999-04-15 Silverbrook Research Pty Ltd A method and apparatus (ij46p2)
DE19945140B4 (de) * 1999-09-21 2006-02-02 Infineon Technologies Ag Verfahren zur Herstellung einer Maskenschicht mit Öffnungen verkleinerter Breite
JP2001212965A (ja) * 2000-02-07 2001-08-07 Casio Comput Co Ltd あな加工装置及びあな加工方法
US6457477B1 (en) * 2000-07-24 2002-10-01 Taiwan Semiconductor Manufacturing Company Method of cleaning a copper/porous low-k dual damascene etch
US6677242B1 (en) * 2000-08-12 2004-01-13 Applied Materials Inc. Integrated shallow trench isolation approach
KR100743770B1 (ko) * 2000-12-05 2007-07-30 주식회사 하이닉스반도체 반도체 소자의 구리 금속 배선 형성 방법
JP3959710B2 (ja) * 2002-02-01 2007-08-15 ソニー株式会社 固体撮像装置の製造方法
JP2003303808A (ja) * 2002-04-08 2003-10-24 Nec Electronics Corp 半導体装置の製造方法
KR100408847B1 (ko) * 2002-04-09 2003-12-06 아남반도체 주식회사 반도체 제조공정시 발생된 잔존물 제거방법
US6764810B2 (en) * 2002-04-25 2004-07-20 Taiwan Semiconductor Manufacturing Co., Ltd Method for dual-damascene formation using a via plug
KR20040005380A (ko) * 2002-07-10 2004-01-16 주식회사 하이닉스반도체 반도체 소자의 콘택홀 형성방법
US7074723B2 (en) * 2002-08-02 2006-07-11 Applied Materials, Inc. Method of plasma etching a deeply recessed feature in a substrate using a plasma source gas modulated etchant system
US7199712B2 (en) * 2004-06-17 2007-04-03 Tafas Triantafyllos P System for automatically locating and manipulating positions on an object
US20050280674A1 (en) * 2004-06-17 2005-12-22 Mcreynolds Darrell L Process for modifying the surface profile of an ink supply channel in a printhead
WO2006037151A2 (en) * 2004-10-08 2006-04-13 Silverbrook Research Pty Ltd Method of removing polymer coating from an etched trench
US20060199370A1 (en) * 2005-03-01 2006-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Method of in-situ ash strip to eliminate memory effect and reduce wafer damage

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020088478A1 (en) * 1997-02-14 2002-07-11 Degendt Stefan Method for removing organic contaminants from a semiconductor surface
US20010002135A1 (en) * 1998-03-02 2001-05-31 Milligan Donald J. Micromachined ink feed channels for an inkjet printhead
US6492276B1 (en) * 1998-05-29 2002-12-10 Taiwan Semiconductor Manufacturing Company Hard masking method for forming residue free oxygen containing plasma etched layer
US6277756B1 (en) * 1999-02-12 2001-08-21 Denso Corporation Method for manufacturing semiconductor device
US6583065B1 (en) * 1999-08-03 2003-06-24 Applied Materials Inc. Sidewall polymer forming gas additives for etching processes
US20030116820A1 (en) * 1999-09-01 2003-06-26 Daubenspeck Timothy H. Post-fuse blow corrosion prevention structure for copper fuses
US20010006245A1 (en) * 1999-12-28 2001-07-05 Takashi Yunogami Manufacturing method of semiconductor integrated circuit device
US20030211752A1 (en) * 2002-05-01 2003-11-13 Michael Rattner Method of smoothing a trench sidewall after a deep trench silicon etch process
US6569777B1 (en) * 2002-10-02 2003-05-27 Taiwan Semiconductor Manufacturing Co., Ltd Plasma etching method to form dual damascene with improved via profile

Cited By (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110024389A1 (en) * 2004-10-08 2011-02-03 Silverbrook Research Pty Ltd Method of etching backside ink supply channels for an inkjet printhead
US20140252683A1 (en) * 2006-06-13 2014-09-11 Abbott Cardiovascular Systems Inc. Surface treatment of a polymeric stent
US20110028072A1 (en) * 2006-06-13 2011-02-03 Advanced Cardiovascular Systems, Inc. Surface Treatment of a Polymeric Stent
US8795030B2 (en) * 2006-06-13 2014-08-05 Advanced Cardiovascular Systems, Inc. Surface treatment of a polymeric stent
US8998679B2 (en) * 2006-06-13 2015-04-07 Abbott Cardiovascular Systems Inc. Surface treatment of a polymeric stent
US9061091B2 (en) 2007-12-10 2015-06-23 Abbott Cardiovascular Systems Inc. Methods to improve adhesion of polymer coatings over stents
US7829470B2 (en) * 2008-02-12 2010-11-09 Elpida Memory, Inc. Method for manufacturing semiconductor device
US20090203207A1 (en) * 2008-02-12 2009-08-13 Elpida Memory, Inc. Method for manufacturing semiconductor device
US20110076853A1 (en) * 2009-09-28 2011-03-31 Magic Technologies, Inc. Novel process method for post plasma etch treatment
DE102013223490B4 (de) 2013-11-18 2023-07-06 Robert Bosch Gmbh Verfahren zur Herstellung einer strukturierten Oberfläche
US11666918B2 (en) 2020-03-06 2023-06-06 Funai Electric Co., Ltd. Microfluidic chip, head, and dispensing device for dispensing fluids containing an acidic component
US11980889B2 (en) 2020-03-06 2024-05-14 Funai Electric Co., Ltd. Microfluidic chip, head, and dispensing device for dispensing fluids containing an acidic component
CN111834285A (zh) * 2020-07-20 2020-10-27 武汉新芯集成电路制造有限公司 半导体器件及其制造方法

Also Published As

Publication number Publication date
CN100565815C (zh) 2009-12-02
CN101036218A (zh) 2007-09-12
JP2008516429A (ja) 2008-05-15
US20110024389A1 (en) 2011-02-03
EP1800334A4 (en) 2012-07-04
KR100857629B1 (ko) 2008-09-08
US7588693B2 (en) 2009-09-15
US20060076312A1 (en) 2006-04-13
WO2006037151A3 (en) 2006-10-19
WO2006037151A2 (en) 2006-04-13
EP1800334A2 (en) 2007-06-27
US7837887B2 (en) 2010-11-23
US20090301999A1 (en) 2009-12-10
KR20070088584A (ko) 2007-08-29
JP4638499B2 (ja) 2011-02-23

Similar Documents

Publication Publication Date Title
US7588693B2 (en) Method of modifying an etched trench
US6555480B2 (en) Substrate with fluidic channel and method of manufacturing
McAuley et al. Silicon micromachining using a high-density plasma source
JP4533221B2 (ja) タンタル層を形成する方法及びタンタル層を用いる装置
AU2005254115B2 (en) Process for modifying the surface profile of an ink supply channel in a printhead
JP2017174985A (ja) シリコン基板の加工方法
US7481943B2 (en) Method suitable for etching hydrophillic trenches in a substrate
JP6128972B2 (ja) 液体吐出ヘッド用基板の製造方法
KR100925054B1 (ko) 웨이퍼 식각 방법
WO2011022749A1 (en) Method of removing photoresist and etch-residues from vias
US8877605B1 (en) Silicon substrate fabrication
US20150024605A1 (en) Substrate processing method
JP2007144915A (ja) 液滴吐出ヘッドの製造方法およびパターン形成方法
JP2007136875A (ja) インクジェット記録ヘッド用基体
JP2007019174A (ja) プラズマエッチング装置
Bhardwaj et al. Advances in deep oxide etch processing for mems–mask selection
US7767103B2 (en) Micro-fluid ejection assemblies
CN115458392A (zh) 一种刻蚀聚酰亚胺牺牲层的方法及应用
LEA et al. ADVANCES IN DEEP OXIDE ETCH PROCESSING FOR MEMS-MASK SELECTION JK BHARDWAJ, C. WELCH, A. BARKER, R. GUNN
BHARDWAJ et al. ADVANCES IN DEEP OXIDE ETCH PROCESSING FOR MEMS–

Legal Events

Date Code Title Description
AS Assignment

Owner name: SILVERBROOK RESEARCH PTY LTD, AUSTRALIA

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:MCREYNOLDS, DARRELL LARUE;SILVERBROOK, KIA;REEL/FRAME:017071/0196

Effective date: 20050919

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION