US11450686B2 - High density 3D FERAM - Google Patents

High density 3D FERAM Download PDF

Info

Publication number
US11450686B2
US11450686B2 US17/106,516 US202017106516A US11450686B2 US 11450686 B2 US11450686 B2 US 11450686B2 US 202017106516 A US202017106516 A US 202017106516A US 11450686 B2 US11450686 B2 US 11450686B2
Authority
US
United States
Prior art keywords
layer
electrodes
channel
layers
gate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active, expires
Application number
US17/106,516
Other languages
English (en)
Other versions
US20210408043A1 (en
Inventor
Sai-Hooi Yeong
Bo-Feng YOUNG
Yu-Ming Lin
Chi On Chui
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Assigned to TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. reassignment TAIWAN SEMICONDUCTOR MANUFACTURING CO., LTD. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: YEONG, SAI-HOOI, Young, Bo-Feng, CHUI, CHI ON, LIN, YU-MING
Priority to US17/106,516 priority Critical patent/US11450686B2/en
Priority to DE102020132592.4A priority patent/DE102020132592A1/de
Priority to KR1020210010388A priority patent/KR102572467B1/ko
Priority to TW111140378A priority patent/TWI806792B/zh
Priority to TW110104834A priority patent/TWI781531B/zh
Priority to CN202110215766.1A priority patent/CN113410255A/zh
Publication of US20210408043A1 publication Critical patent/US20210408043A1/en
Priority to US17/868,278 priority patent/US20220352208A1/en
Publication of US11450686B2 publication Critical patent/US11450686B2/en
Application granted granted Critical
Active legal-status Critical Current
Adjusted expiration legal-status Critical

Links

Images

Classifications

    • H01L27/11597
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/20Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the three-dimensional arrangements, e.g. with cells on different height levels
    • H01L27/11585
    • H01L27/11587
    • H01L27/1159
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/10Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the top-view layout
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/50Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the boundary region between the core and peripheral circuit regions

Definitions

  • IC semiconductor integrated circuit
  • functional density i.e., the number of interconnected devices per chip area
  • geometry size i.e., the smallest component (or line) that can be created using a fabrication process
  • This scaling down process generally provides benefits by increasing production efficiency and lowering associated costs.
  • Such scaling down has also increased the complexity of processing and manufacturing ICs.
  • semiconductor manufacturing processes need continued improvements.
  • One area of improvements is how to increase ferroelectric memory (FeRAM, FRAM, F-RAM, or FERAM) cell density for various applications, including embedded memory and standalone memory.
  • FERAM is one of candidates for next generation non-volatile memory (NVM) due to its fast write/read speed and small size.
  • NVM non-volatile memory
  • FIG. 1A illustrates a perspective view of a stacked FERAM structure, in portion, according to various aspects of the present disclosure.
  • FIG. 1B and FIG. 1C illustrate a top view and a cross-sectional view of the stacked FERAM structure in FIG. 1A respectively.
  • FIG. 1D illustrates a perspective view of certain components of the stacked FERAM structure in FIG. 1A , in accordance with an embodiment.
  • FIG. 2 illustrates a perspective view of a portion of a semiconductor device having a stacked FERAM structure integrated therein, such as the one shown in FIG. 1A , according to various aspects of the present disclosure.
  • FIG. 3 show a flow chart of a method of forming a stacked FERAM structure, according to various aspects of the present disclosure.
  • FIGS. 4A, 4B, 4C, 4D-1, 4D-2, 4D-3, 4E-1, 4E-2, 4E-3, 4F-1, 4F-2, 4F-3 , 4 G, 4 H, 4 I, 4 J, 4 K, and 4 L illustrate various perspective and cross-sectional views of a portion of a stacked FERAM structure, during various fabrication stages of the method in FIG. 3 , according to some embodiments of the present disclosure.
  • FIGS. 5A, 5B, and 5C show schematic views of a semiconductor device having a stacked FERAM structure, according to some embodiments of the present disclosure.
  • FIGS. 6A, 6B, 6C, 6D, 6E, and 6F show various embodiments of a single-bit (1T) FERAM that may be stacked in a stacked FERAM structure according to the present disclosure.
  • first and second features are formed in direct contact
  • additional features may be formed between the first and second features, such that the first and second features may not be in direct contact
  • present disclosure may repeat reference numerals and/or letters in the various examples. This repetition is for the purpose of simplicity and clarity and does not in itself dictate a relationship between the various embodiments and/or configurations discussed.
  • spatially relative terms such as “beneath,” “below,” “lower,” “above,” “upper” and the like, may be used herein for ease of description to describe one element or feature's relationship to another element(s) or feature(s) as illustrated in the figures.
  • the spatially relative terms are intended to encompass different orientations of the device in use or operation in addition to the orientation depicted in the figures.
  • the apparatus may be otherwise oriented (rotated 90 degrees or at other orientations) and the spatially relative descriptors used herein may likewise be interpreted accordingly.
  • the term encompasses numbers that are within certain variations (such as +/ ⁇ 10% or other variations) of the number described, in accordance with the knowledge of the skilled in the art in view of the specific technology disclosed herein, unless otherwise specified.
  • the term “about 5 nm” may encompass the dimension range from 4.5 nm to 5.5 nm, 4.0 nm to 5.0 nm, etc.
  • the present disclosure relates generally to semiconductor fabrication processes and the structures thereof, and more particularly to processes of forming three-dimensional (3D) Ferroelectric RAM (FeRAM, FERAM, F-RAM, or FRAM) memory array for achieving high density memory.
  • the disclosed 3D FERAM can be a standalone memory IC or be integrated with MOSFETs (such as CMOSFETs, FinFETs, gate-all-around (GAA) transistors such as nanowire FETs and nanosheet FETs, or other types of multi-gate FETs) in an IC for increasing the IC's functionality.
  • MOSFETs such as CMOSFETs, FinFETs, gate-all-around (GAA) transistors such as nanowire FETs and nanosheet FETs, or other types of multi-gate FETs
  • the disclosed 3D FERAM achieves high density memory.
  • a 3D FERAM array includes multiple layers stacked one over another and each layer includes a 2-dimensional (2D) array of 1-T (single channel or single transistor) FERAM cells.
  • the 2D arrays at different layers are vertically aligned and stacked to form the 3D FERAM array.
  • the 1-T FERAM cells are arranged into rows and columns.
  • the FERAM cells in the same column share source and drain electrodes which are connected to supply line (SL) and bit line (BL) of the FERAM array.
  • the FERAM cells in the same row share a common word line (WL) of the FERAM array.
  • the FERAM cells that are vertically aligned share a common gate electrode, which can be connected to the WL.
  • Dielectric inner spacers are provided between the gate electrode (such as a metal gate) and the source/drain electrodes to reduce the coupling capacitance therebetween.
  • the present disclosure provides the following benefits. First, by using the disclosed 3D FERAM structure, high-density FERAM memory array can be achieved. Second, in some embodiments, the source/drain electrodes are formed as part of an initial multi-layer stack before the gate electrode is formed and naturally connect all channel layers in the same row. Thus, extra process steps to form the source/drain electrodes is not required (but can certainly be implemented if desired), simplifying the fabrication process. Third, the dielectric inner spacers reduce the coupling capacitance between the gate electrodes and the source/drain electrodes, thereby increasing the operating speed of the FERAM.
  • FIG. 1A illustrates a portion of a 3D FERAM structure 200 constructed according to an embodiment of the present disclosure.
  • the 3D FERAM structure 200 is also referred to as the structure 200 or the FERAM array 200 in the present disclosure.
  • the structure 200 includes three FERAM layers 201 that are vertically (along the “z” direction) stacked one over another and each FERAM layer 201 includes three FERAM cells (or unit cells) 250 arranged in a column (along the “y” direction).
  • the embodiment shown in FIG. 1A includes 9 unit cells 250 .
  • the structure 200 may include two or more (such as 2, 3, 4, 5, and so on) FERAM layers 201 , and each FERAM layer 201 may include any number of unit cells 250 in a column and may include any number of columns to form a 2D array in the respective FERAM layer 201 .
  • the 3D FERAM structure 200 may be a standalone memory device, an embedded memory that is integrated with other circuits in an IC, or part of a stacked 3D IC structure in various embodiments.
  • a column of the unit cells 250 are arranged along the “y” direction in FIG. 1A
  • a row of the unit cells 250 are arranged along the “x” direction in FIG. 1A .
  • FIG. 1B illustrates a top view of a portion of the FERAM layer 201 according to an embodiment
  • FIG. 1C illustrates a cross-sectional view of a portion of the structure 200 according to an embodiment
  • FIG. 1D illustrates a perspective view of certain components of the unit cell 250 , in a disassembled state, according to an embodiment.
  • a unit cell 250 includes an isolation structure 202 , a semiconductor layer 204 (such as providing a source region 204 s , a drain region 204 d , and a channel region 204 c for the unit cell 250 ), an FE layer 210 wrapping around the channel region 204 c , a metal layer 206 (such as providing a source electrode and a drain electrode and supporting a source via 220 and a drain via 222 thereon respectively), a spacer feature (or inner spacer) 208 , and a gate electrode 212 that wraps around the FE layer 210 .
  • the semiconductor layer 204 is disposed over the isolation structure 202 .
  • the metal layer 206 is disposed over the semiconductor layer 204 .
  • the inner spacer 208 is disposed over the semiconductor layer 204 and laterally (along the “x” direction) between the FE layer 210 and the metal layer 206 .
  • the structure 200 includes a gate spacer (or gate isolation) 214 that extends vertically from an isolation structure 199 (such as an inter-level dielectric layer) and is disposed laterally (along the “y” direction) between the gate electrodes 212 of adjacent rows for isolating the gate electrodes 212 one from another.
  • a gate spacer or gate isolation 214 that extends vertically from an isolation structure 199 (such as an inter-level dielectric layer) and is disposed laterally (along the “y” direction) between the gate electrodes 212 of adjacent rows for isolating the gate electrodes 212 one from another.
  • the isolation structure 202 includes a dielectric material such as silicon nitride (Si 3 N 4 ), or silicon oxide (SiO 2 ), other suitable dielectric material, or a combination thereof.
  • the isolation structure 202 may have a thickness (along the “z” direction) in a range of about 10 nm to about 100 nm in some embodiments.
  • the semiconductor layer 204 includes an oxide semiconductor such as indium gallium zinc oxide (IGZO), indium tungsten oxide (IWO), indium tin oxide (ITO), zinc oxide (ZnO), other suitable oxide semiconductor, or a combination thereof.
  • the semiconductor layer 204 includes polysilicon.
  • the semiconductor layer 204 includes silicon or other suitable semiconductor material.
  • the semiconductor layer 204 may have a thickness (along the “z” direction) in a range of about 10 nm to about 60 nm in some embodiments.
  • the metal layer 206 includes a conductive material such as titanium nitride, tantalum nitride, ruthenium, tungsten, other suitable conductive materials, or a combination thereof.
  • the metal layer 206 may have a thickness (along the “z” direction) in a range of about 10 nm to about 60 nm in some embodiments.
  • the FE layer 210 includes hafnium oxide (HfO 2 ); hafnium zirconium oxide (Hf x Zr 1-x O 2 ); HfO 2 doped with Si, Y, Ge, La, or other suitable elements; aluminum scandium nitride (Al 1-x Sc x N); aluminum nitride (AlN); or other suitable ferroelectric materials.
  • the FE layer 210 may have a thickness in a range of about 5 nm to about 30 nm in some embodiments.
  • the inner spacer 208 includes a low-k dielectric material, for example, a dielectric material with a dielectric constant (k value) less than 10, such as in a range of about 3 to about 10.
  • the inner spacer 208 may include SiCN, SiC, SiON, SiOCN, Al 2 O 3 , or other suitable dielectric materials. Because the FE layer 210 is generally a high-k dielectric material, having the inner spacers 208 formed of a low-k (or lower-k) dielectric material reduces the coupling capacitance between the gate electrode 212 and the metal layer 206 , thereby increasing the operating speed of the FERAM structure 200 .
  • the inner spacers 208 may have a length (dimension along the “x” direction) in a range about 5 nm to about 30 nm in some embodiments.
  • the inner spacer 208 is too thin (such as less than about 5 nm), it might not effectively reduce the coupling capacitance between the gate electrode 212 and the metal layer 206 , and the risk of shorting the gate electrode 212 and the metal layer 206 might be too great. If the inner spacer 208 is too thick (such as more than about 30 nm), then it would unnecessarily increase the footprint of the unit cell 250 and would reduce the memory density of the 3D FERAM structure 200 .
  • the gate electrode 212 includes a p-type work function layer so that the FERAM unit cell 250 has a positive threshold voltage.
  • the gate electrode 212 may comprise a metal with a sufficiently large effective work function, such as titanium nitride, tantalum nitride, ruthenium, molybdenum, tungsten, platinum, or combinations thereof.
  • the gate electrode 212 may further include a low-resistance metal such as aluminum, tungsten, cobalt, copper, and/or other suitable materials.
  • the gate electrode 212 includes an n-type work function layer such as titanium, aluminum, tantalum carbide, tantalum carbide nitride, tantalum silicon nitride, or combinations thereof.
  • the vias 220 and 222 may each include one or more conductive materials such as Co, W, Ru, Al, Mo, Ti, TiN, TiSi, CoSi, NiSi, TaN, Ni, TiSiN, or combinations thereof.
  • the gate isolation 214 includes a dielectric material such as silicon oxide (SiO 2 ), silicon nitride (Si 3 N 4 ), silicon oxynitride (SiON), silicon oxycarbonitride (SiOCN), fluoride-doped silicate glass (FSG), a low-k dielectric material, and/or other suitable insulating material.
  • FIG. 2 illustrates a perspective view of a portion of a semiconductor device 100 (such as an integrated circuit) having a 3D FERAM structure 200 integrated therein, according to various aspects of the present disclosure.
  • the FERAM layers 201 are patterned into a staircase structure 260 .
  • the vias 220 and 222 are disposed on the metal layer 206 on each step of the staircase structure 260 .
  • the semiconductor device 100 includes source lines (SL) 230 and bit lines (BL) 232 that are connected to the vias 220 and 222 respectively and word lines (WL) 112 that are connected to the gate electrodes 212 .
  • SL source lines
  • BL bit lines
  • WL word lines
  • the WL 112 are further connected to gate vias 110 disposed over gate electrodes 106 of various transistors 150 .
  • the transistors 150 are implemented in or on a substrate 102 and include source/drain regions 104 , gate electrodes 106 , and gate spacers 108 .
  • the transistors 150 are isolated one from another by an isolation structure (such as shallow trench isolation STI) 105 .
  • the transistors 150 may be planar MOS transistors, FinFET, gate-all-around (GAA) transistors, or other types of transistors.
  • the WL 112 are connected to the gate electrodes 212 from under the bottommost FERAM layer 201 .
  • the WL 112 are connected to the gate electrodes 212 from above the topmost FERAM layer 201 .
  • the 3D FERAM structure 200 may be implemented at the N th interconnect layer (or metal layer) of the semiconductor device 100 above the transistors 150 , where N is a natural number.
  • the 3D FERAM structure 200 may be implemented at the 4 th interconnect layer of the semiconductor device 100 (in other words, the 3D FERAM structure 200 may be implemented between the 3 rd and the 5 th interconnect layers of the semiconductor device 100 ).
  • the device 100 shown in FIG. 2 is an example where the 3D FERAM structure 200 is implemented at the BEOL of the device 100 and above the transistors 150 that are implemented at the FEOL of the device 100 .
  • FIG. 3 is a flow chart of a method 10 for fabricating a semiconductor device according to various aspects of the present disclosure. Additional processing is contemplated by the present disclosure. Additional operations can be provided before, during, and after method 10 , and some of the operations described can be moved, replaced, or eliminated for additional embodiments of method 10 . Method 10 is described below in conjunction with FIGS. 4A-4L that illustrate various perspective and cross-sectional views of a 3D FERAM structure 200 at various steps of fabrication according to the method 10 , in accordance with some embodiments. In some embodiments, the 3D FERAM structure 200 is a standalone memory device.
  • the 3D FERAM structure 200 is a portion of an IC chip, a system on chip (SoC), or portion thereof, that includes various passive and active microelectronic devices such as resistors, capacitors, inductors, diodes, p-type field effect transistors (PFETs), n-type field effect transistors (NFETs), FinFET, nanosheet FETs, nanowire FETs, other types of multi-gate FETs, metal-oxide semiconductor field effect transistors (MOSFETs), complementary metal-oxide semiconductor (CMOS) transistors, bipolar junction transistors (BJTs), laterally diffused MOS (LDMOS) transistors, high voltage transistors, high frequency transistors, other suitable components, or combinations thereof.
  • SoC system on chip
  • the 3D FERAM structure 200 may be implemented at the back-end-of-line (BEOL) of an IC and above CMOS transistors that are implemented at the front-end-of-line (FEOL) of the IC.
  • FIGS. 4A-4L have been simplified for the sake of clarity to better understand the inventive concepts of the present disclosure. Additional features can be added in the structure 200 , and some of the features described below can be replaced, modified, or eliminated in other embodiments of the structure 200 .
  • each multi-layer 201 includes an isolation layer 202 , a semiconductor layer 204 over the isolation layer 202 , and a metal layer 206 over the semiconductor layer 204 .
  • the 3D FERAM structure 200 further includes another isolation layer 202 on top of the stack of multi-layers 201 in the present embodiment. In other words, both the bottommost layer and topmost layer are isolation layers 202 .
  • the embodiment shown in FIG. 4A has three multi-layers 201 in the stack.
  • the structure 200 may include two or more multi-layers 201 in the stack.
  • the materials and the thicknesses for the layers 202 , 204 , and 206 have been discussed above with reference to FIGS. 1A through 1D .
  • Each of the layers 202 , 204 , and 206 may be formed by CVD, PVD, ALD, or other suitable methods.
  • operation 14 includes performing a photolithography process to form an etch mask over the structure 200 .
  • the photolithography process may include forming a resist layer over the structure 200 (e.g., by spin coating), performing a pre-exposure baking process, performing an exposure process using a photomask, performing a post-exposure baking process, and developing the exposed resist layer in a developer solution.
  • the patterned resist layer includes a resist pattern that corresponds to the photomask, where the resist pattern provides openings corresponding to the gate trenches 205 while covering the rest of the structure 200 .
  • the exposure process can be implemented or replaced by other methods, such as maskless lithography, e-beam writing, ion-beam writing, or combinations thereof.
  • operation 14 etches the stack of multi-layers 201 through the openings in the etch mask to form the gate trenches 205 .
  • Operation 14 may apply one or more etching processes that are selectively targeted at the materials of the layers 206 , 204 , and 202 .
  • Operation 14 may apply a cyclic multi-etching process in an embodiment. For example, operation 14 may apply a first etching process that is targeted at the materials of the layers 202 , apply a second etching process that is targeted at the materials of the layers 206 , and apply a third etching process that is targeted at the materials of the layers 204 .
  • Operation 14 may repeatedly apply the first, the second, and the third etching processes in a cyclic order until all the layers in the structure 200 are etched through.
  • the various etching processes may include dry etching, reactive ion etching (RIE), and/or other suitable processes.
  • a dry etching process may implement a fluorine-containing gas (e.g., CF 4 , SF 6 , CH 2 F 2 , CHF 3 , and/or C 2 F 6 ), a chlorine-containing gas (e.g., Cl 2 , CHCl 3 , CCl 4 , and/or BCl 3 ), a bromine-containing gas (e.g., HBr and/or CHBr 3 ), ammonia, argon, other suitable gases and/or plasmas, and/or combinations thereof.
  • operation 14 removes the etch mask, for example, using resist stripping or other methods.
  • operation 16 the method 10 ( FIG. 1A ) forms suspended channel layers 204 c in the gate trenches 205 , such as shown in FIG. 4C .
  • operation 16 includes performing a photolithography process to form an etch mask over the structure 200 .
  • the etch mask exposes the channel region 203 of the structure 200 while covering the rest of the structure 200 .
  • operation 16 applies one or more etching processes that selectively etch the isolation layer 202 and the metal layer 206 with minimal (to no) etching of the semiconductor layers 204 , thereby forming the suspended channel layers 204 c .
  • the various etching processes may include dry etching, wet etching, reactive ion etching (RIE), and/or other suitable processes.
  • a dry etching process may implement a fluorine-containing gas (e.g., CF 4 , SF 6 , CH 2 F 2 , CHF 3 , and/or C 2 F 6 ), a chlorine-containing gas (e.g., Cl 2 , CHCl 3 , CCl 4 , and/or BCl 3 ), other suitable gases and/or plasmas, and/or combinations thereof.
  • a fluorine-containing gas e.g., CF 4 , SF 6 , CH 2 F 2 , CHF 3 , and/or C 2 F 6
  • a chlorine-containing gas e.g., Cl 2 , CHCl 3 , CCl 4 , and/or BCl 3
  • a wet etching process may comprise etching in hydrofluoric acid (HF); diluted hydrofluoric acid (DHF); sulfuric acid (H 2 SO 4 ); hydrogen chloride (HCl), phosphoric acid (H 3 PO 4 ); or other suitable wet etchant.
  • HF hydrofluoric acid
  • DHF diluted hydrofluoric acid
  • HCl hydrogen chloride
  • H 3 PO 4 phosphoric acid
  • the semiconductor layers 204 may extend slightly past the isolation layers 202 and the metal layer 206 towards the gate trenches 205 along the “x” direction (this is also illustrated in FIGS. 4D-2 and 4D-3 ).
  • the method 10 laterally recesses the metal layer 206 from the gate trenches 205 to form gaps 207 vertically between adjacent layers 204 and 202 , such as shown in FIGS. 4D-1, 4D-2, and 4D-3 .
  • the etch mask formed in the operation 16 may be kept and re-used during the operation 18 in an embodiment. Alternatively, the etch mask formed in the operation 16 is removed before the operation 18 is performed. Operation 18 applies one or more etching processes that selectively etch the metal layer 206 with minimal (to no) etching of the isolation layer 202 and the semiconductor layers 204 , thereby forming the gaps 207 .
  • the various etching processes may include dry etching, wet etching, reactive ion etching (RIE), and/or other suitable processes.
  • the depth dl of the gaps 207 ( FIG. 4D-3 ) is controlled to be in a range about 5 nm to about 30 nm as measured along the “x” direction from an edge of the isolation layer 202 that faces the gate trenches 205 .
  • the semiconductor layers 204 extend past the isolation layers 202 and the metal layer 206 towards the gate trenches 205 along the “x” direction.
  • the thickness t 1 of the metal layer 206 (also the height of the gaps 207 along the “z” direction) is in a range of about 10 nm to about 60 nm in some embodiments.
  • the method 10 deposits an inner spacer layer on the surfaces of the layers 202 , 204 (including 204 c ), and 206 that are exposed by the gate trenches 205 and the gaps 207 , such as shown in FIGS. 4E-1, 4E-2, and 4E-3 .
  • the inner spacer layer 208 fills the gaps 207 .
  • the inner spacer layer 208 includes a low-k dielectric material, for example, a dielectric material with a dielectric constant less than 10, such as in a range of about 3 to about 10.
  • the inner spacer layer 208 may include SiCN, SiC, SiON, SiOCN, Al 2 O 3 , or other suitable dielectric materials.
  • Example low-k dielectric materials suitable for the inner spacer layer 208 include fluoride-doped silica glass, carbon doped silicon oxide, Xerogel, Aerogel, amorphous fluorinated carbon, Parylene, Benzocyclobutene (BCB), polyimide, other low-k dielectric material, or combinations thereof.
  • the inner spacer layer 208 is deposited using ALD. In alternative embodiments, the inner spacer layer 208 may be deposited using CVD or other suitable methods.
  • the inner spacer layer 208 has a thickness t 2 ( FIG. 4E-3 ) in a range about 5 nm to about 20 nm in the present embodiment. Particularly, the thickness t 2 is greater than half of the thickness t 1 so that the inner spacer layer 208 merges within the gaps 207 and fully fills the gaps 207 .
  • the method 10 etches back the inner spacer layer 208 to remove it from the surfaces of the layers 202 and 204 that face the gate trenches 205 , leaving remaining portions of the inner spacer layer 208 in the gaps 207 as the inner spacer features 208 , such as shown in FIGS. 4F-1, 4F-2, and 4F-3 .
  • the etch-back process may apply dry etching, wet etching, or reactive ion etching that is tuned selective to the material of the inner spacer layer 208 , and with minimal (to no) etching of the semiconductor layers 204 and the isolation layers 202 .
  • the etch-back process may apply an isotropic wet etching process.
  • the channel regions 204 c are exposed in the gate trenches 205 , and the surfaces of the layers 202 and 204 that face the gate trenches 205 are also exposed.
  • the inner spacer features 208 completely fill the gaps 207 and the length L 1 of the inner spacer features 208 along the “x” direction is substantially equal to the depth dl of the gaps 207 , such as shown in FIG. 4F-3 .
  • the inner spacer features 208 partially fill the gaps 207 and the length L 1 of the inner spacer features 208 along the “x” direction is less than the depth dl of the gaps 207 .
  • the length L 1 is about 80% to about 90% of the depth dl. In various embodiments, the length L 1 may be in a range of about 5 nm to about 30 nm. If the length L 1 is too small (such as less than about 5 nm), the inner spacer features 208 might not effectively reduce the coupling capacitance between the gate electrode 212 and the metal layer 206 , and the risk of shorting the gate electrode 212 and the metal layer 206 might be too great. If the length L 1 is too great (such as more than about 30 nm), then the inner spacer features 208 would unnecessarily increase the footprint of the unit cell 250 and would reduce the memory density of the 3D FERAM structure 200 .
  • the method 10 optionally forms source/drain (S/D) features 209 in the semiconductor layers 204 .
  • S/D features 209 may be formed in some embodiments of the FERAM unit cells 250 .
  • the S/D features 209 may be formed by annealing the device 200 (for example, in an oxygen ambient or a nitrogen ambient) such that the metal layer 206 reacts with the semiconductor layer 204 to form the S/D features 209 .
  • the S/D features 209 may be formed by doping appropriate p-type or n-type dopants into the semiconductor layer 204 , for example, by ion implantation.
  • the method 10 deposits a FE layer 210 on the various surfaces (including the surfaces of the isolation structure 202 , the semiconductor layer 204 , and the inner spacer features 208 ) that are exposed in the gate trenches 205 .
  • the FE layer 210 is deposited to surround each of the channel regions 204 c while leaving space S (see FIG. 1C ) between the FE layer 210 on vertically adjacent channel regions 204 c .
  • the space S is large enough for filling gate electrode 212 therein for a desired deposition technique, such as ALD, CVD, PVD, or plating.
  • the FE layer 210 is deposited to have a substantially uniform thickness on the various surfaces discussed above.
  • the thickness of the FE layer 210 may be in a range from about 5 nm to about 30 nm in various embodiments.
  • the FE layer 210 is deposited using ALD to control the preciseness of the deposited thickness.
  • the FE layer 210 may be deposited using CVD, PVD, or other deposition techniques.
  • the FE layer 210 includes hafnium oxide (HfO 2 ); hafnium zirconium oxide (Hf x Zr 1-x O 2 ); HfO 2 doped with Si, Y, Ge, La, or other suitable elements; aluminum scandium nitride (Al 1-x Sc x N); aluminum nitride (AlN); or other suitable ferroelectric materials.
  • the operation 24 may optionally deposit an interfacial layer 211 over the various surfaces that are exposed in the gate trenches 205 and then deposit the FE layer 210 over the interfacial layer 211 .
  • interfacial layer 211 is provided in the embodiments illustrated in FIGS. 6B, 6D, 6E, and 6F .
  • the interfacial layer 211 may increase the adhesion between the FE layer 210 and the various surfaces that are underneath the FE layer 210 .
  • the material for the interfacial layer 211 may be selected depending on the material of the semiconductor layer 204 .
  • the interfacial layer 211 may include aluminum oxide (e.g., Al 2 O 3 ), silicon oxide (e.g., SiO 2 ), hafnium oxide (HfO 2 ), lanthanum oxide (La 2 O 3 ), or other suitable material in various embodiments.
  • the interfacial layer 211 may include silicon oxide (e.g., SiO 2 ), a native oxide of the material included in the semiconductor layer 204 , or other suitable material in various embodiments.
  • the method 10 deposits one or more gate electrode layers 212 in the gate trenches 205 , filling the gate trenches 205 and wrapping around the FE layer 210 , such as shown in FIG. 4H .
  • the gate electrode 212 includes a p-type work function layer such as titanium nitride, tantalum nitride, ruthenium, molybdenum, tungsten, platinum, or combinations thereof.
  • the gate electrode 212 includes an n-type work function layer such as titanium, aluminum, tantalum carbide, tantalum carbide nitride, tantalum silicon nitride, or combinations thereof.
  • the gate electrode 212 may include both p-type work function layer(s) and n-type work function layer(s) to achieve a desired work function.
  • the gate electrode 212 may further include a low-resistance metal such as aluminum, tungsten, cobalt, copper, and/or other suitable materials that are deposited over the p-type and/or n-type work function layer.
  • the gate electrode 212 may be deposited using ALD, CVD, PECVD, plating, or other suitable methods.
  • operation 28 the method 10 ( FIG. 1A ) etches the gate electrode 212 and the FE layer 210 to form gate isolation trenches 215 , such as shown in 4 I.
  • operation 28 may involve multiple processes such as photolithography and etching.
  • operation 28 includes performing a photolithography process to form an etch mask (such as a structure including a resist pattern) over the structure 200 , similar to the photolithography process discussed with reference to the operation 14 .
  • the etch mask provides openings corresponding to the gate isolation trenches 215 .
  • operation 28 etches the gate electrode 212 and the FE layer 210 through the openings in the etch mask to form the gate isolation trenches 215 .
  • Operation 28 may apply one or more etching processes that are selectively targeted at the materials of the layers 212 and 210 with no (or minimal) etching to the isolation structure 202 , the semiconductor layer 204 , and the inner spacer features 208 .
  • the various etching processes may include dry etching, reactive ion etching (RIE), and/or other suitable processes.
  • operation 28 removes the etch mask, for example, using resist stripping or other methods.
  • the method 10 forms a gate isolation 214 in the gate isolation trenches 215 , such as shown in FIG. 4J .
  • operation 30 after depositing and overfilling a dielectric material in the gate isolation trenches 215 , operation 30 performs a CMP process to planarize the top surface of the structure 200 and to expose the gate electrode 212 . The remaining dielectric material becomes the gate isolation 214 .
  • the gate isolation 214 includes a dielectric material such as silicon oxide (SiO 2 ), silicon nitride (Si 3 N 4 ), silicon oxynitride (SiON), silicon oxycarbonitride (SiOCN), fluoride-doped silicate glass (FSG), a low-k dielectric material, and/or other suitable insulating material.
  • the gate isolation 214 may be deposited using CVD, PECVD, flowable CVD, or other suitable methods in various embodiments.
  • the method 10 forms a staircase structure 260 , such as shown in FIG. 4K .
  • Each step in the staircase structure 260 includes one FERAM layer 201 .
  • In the center region (the channel region) of the FERAM layer 201 there are multiple channel regions 204 c (see FIGS. 1A, 1B, and 1C ) arranged in a column (along the “y” direction), FE layers 210 surrounding the multiple channel regions 204 c respectively, gate electrodes 212 surrounding the FE layers 210 respectively, and gate isolation 214 separating and isolating the gate electrodes 212 .
  • the FERAM layer 201 includes the isolation structure 202 , the semiconductor layer 204 (source and drain regions), the inner spacer features 208 , and the metal layer 206 .
  • the staircase structure 260 may be formed using multiple photolithography, etching, and trimming processes. For example, a first etch mask is formed to cover the area of the structure 200 corresponding to the topmost step of the staircase structure 260 , then the structure 200 is etched until the metal layer 206 is exposed. Then, a second etch mask is formed to cover the area of the structure 200 corresponding to the topmost step and one step below the topmost step of the staircase structure 260 , then the structure 200 is etched until the next metal layer 206 is exposed.
  • the various etching processes may include dry etching, reactive ion etching (RIE), and/or other suitable processes.
  • RIE reactive ion etching
  • the etch masks for the various etching processes may be formed using photolithography and trimming processes to achieve the desired shape.
  • the method 10 forms vias 220 and 222 to contact the metal layer 206 in the S/D regions at each step of the staircase structure 260 and form vias 224 to contact the gate electrodes 212 , such as shown in FIG. 4L .
  • operation 34 includes depositing one or more dielectric layers (not shown) over the staircase structure 260 ; forming an etch mask (such as using a photolithography process) over the one or more dielectric layers where the etch mask provides openings corresponding to the vias 220 , 222 , and 224 ; etching via holes into the one or more dielectric layers to expose the metal layer 206 at each step of the staircase structure 260 ; and depositing one or more conductive materials in the via holes to form the vias 220 , 222 , and 224 .
  • the one or more dielectric layers are not shown in FIG. 4L .
  • the one or more dielectric layers may include tetraethylorthosilicate (TEOS) formed oxide, un-doped silicate glass, or doped silicon oxide such as borophosphosilicate glass (BPSG), fluoride-doped silica glass (FSG), phosphosilicate glass (PSG), boron doped silicon glass (BSG), and/or other suitable dielectric materials.
  • TEOS tetraethylorthosilicate
  • BPSG borophosphosilicate glass
  • FSG fluoride-doped silica glass
  • PSG phosphosilicate glass
  • BSG boron doped silicon glass
  • the one or more dielectric layers may be formed by PECVD (plasma enhanced CVD), FCVD (flowable CVD), or other suitable methods.
  • the vias 220 , 222 , and 224 may include one or more conductive materials such as Co, W, Ru, Al, Mo, Ti, TiN, TiSi, CoSi, NiSi, TaN, Ni, TiSiN, or combinations thereof, and may be formed by ALD, CVD, PVD, plating, and/or other suitable processes.
  • conductive materials such as Co, W, Ru, Al, Mo, Ti, TiN, TiSi, CoSi, NiSi, TaN, Ni, TiSiN, or combinations thereof, and may be formed by ALD, CVD, PVD, plating, and/or other suitable processes.
  • the method 10 ( FIG. 1A ) performs further fabrication. For example, it may form interconnect layers above the FERAM structure 200 .
  • the interconnect layers may include word lines (WL), bit lines (BL), and source lines (SL) that are electrically connected to the vias 224 , 222 , and 220 respectively.
  • FIG. 2 illustrates SL 230 and BL 232 electrically connected to the vias 220 and 222 respectively.
  • FIG. 2 illustrates WL formed below the FERAM structure 200 in an embodiment. In an alternative embodiment (not shown), the WL may be formed above the FERAM structure 200 and electrically connected to the gate vias 224 .
  • FIG. 5A illustrates a schematic top view of the FERAM structure 200 according to an embodiment.
  • FIG. 5B illustrates a portion of a 3D equivalent circuit of the FERAM structure 200 shown in FIG. 5A
  • FIG. 5C illustrates a portion of a 2D condensed circuit of the FERAM structure 200 shown in FIG. 5A .
  • the word lines WL are oriented along the “x” direction and are connected to the gate electrodes through the vias 224 .
  • the bit lines BL and the source lines SL are oriented along the “y” direction and are connected to the source/drain features through the vias 222 and 220 respectively.
  • FIG. 5A illustrates a schematic top view of the FERAM structure 200 according to an embodiment.
  • FIG. 5B illustrates a portion of a 3D equivalent circuit of the FERAM structure 200 shown in FIG. 5A
  • FIG. 5C illustrates a portion of a 2D condensed circuit of the FERAM structure 200 shown in FIG. 5A
  • bit lines BL and m source lines SL per column of FERAM cells there are m bit lines BL and m source lines SL per column of FERAM cells (for example, there are m steps in the staircase structure 260 ).
  • Two columns of FERAM cells are arranged in staggered fashion and each column provides L stacks of unit cells 250 (thus, two columns provide 2 L stacks of unit cells 250 ), where each stack of unit cells 250 is addressed by a word line WL.
  • the word lines WL are routed to a WL decoder.
  • the bit lines are routed to a BL decoder.
  • the source lines are routed to a SL decoder.
  • FIGS. 6A, 6B, 6C, 6D, 6E, and 6F show various embodiments of a portion of the unit cell 250 that may be implemented in the MRAM 200 according to the present disclosure.
  • FIGS. 6A, 6B, 6C, 6D, 6E, and 6F illustrate cross-sectional schematic views of the semiconductor layer 204 (including the optional S/D 209 and the channel region 204 c ), the metal layer 206 , the optional interfacial layer 211 , the FE layer 210 , and the gate electrode 212 in various configurations.
  • the FE layer 210 is directly on the semiconductor layer 204 which includes an oxide semiconductor material.
  • This embodiment does not include S/D 209 and interfacial layer 211 .
  • the FE layer 210 is directly on an interfacial layer 211 which is directly on the semiconductor layer 204 which includes an oxide semiconductor material.
  • This embodiment does not include S/D 209 .
  • the FE layer 210 is directly on the semiconductor layer 204 which includes an oxide semiconductor material.
  • the semiconductor layer 204 includes S/D 209 formed in the semiconductor layer 204 .
  • the FE layer 210 is directly on an interfacial layer 211 which is directly on the semiconductor layer 204 which includes an oxide semiconductor material.
  • the semiconductor layer 204 includes S/D 209 formed in the semiconductor layer 204 .
  • the interfacial layer 211 may include silicon oxide (SiO 2 ) in an embodiment.
  • the semiconductor layer 204 may include indium gallium zinc oxide (IGZO), indium tungsten oxide (IWO), indium tin oxide (ITO), zinc oxide (ZnO), other suitable oxide semiconductor, or a combination thereof.
  • the interfacial layer 211 may include aluminum oxide (Al 2 O 3 ), silicon oxide (SiO 2 ), or other suitable materials in some embodiments.
  • the interfacial layer 211 may include aluminum oxide (e.g., Al 2 O 3 ), silicon oxide (e.g., SiO 2 ), hafnium oxide (HfO 2 ), lanthanum oxide (La 2 O 3 ), or other suitable material in various embodiments.
  • aluminum oxide e.g., Al 2 O 3
  • silicon oxide e.g., SiO 2
  • hafnium oxide HfO 2
  • lanthanum oxide La 2 O 3
  • embodiments of the present disclosure provide one or more of the following advantages.
  • embodiments of the disclosed 3D FERAM structure enable high-density FERAM memory array.
  • the source/drain electrodes of the disclosed FERAM array are formed as part of an initial multi-layer stack before the gate electrode is formed and naturally connect all channel layers in the same row. Thus, extra process steps to form the source/drain electrodes is not required, simplifying the fabrication process.
  • the dielectric inner spacers in the disclosed FERAM array reduce the coupling capacitance between the gate electrodes and the source/drain electrodes, thereby increasing the operating speed of the FERAM array.
  • the present disclosure is directed to a device that includes a first channel; a second channel above the first channel; and a gate structure surrounding the first and second channels, wherein the gate structure includes a ferroelectric (FE) layer surrounding the first and second channels and a gate metal layer surrounding the FE layer.
  • the device further includes two first electrodes connected to two sides of the first channel; two second electrodes connected to two sides of the second channel; a dielectric layer between the first and the second electrodes; and an inner spacer layer between the two first electrodes and the gate structure.
  • FE ferroelectric
  • the inner spacer layer is also between the two second electrodes and the gate structure.
  • the device further includes a gate via disposed on and connected to the gate structure; a source via disposed on and connected to one of the first electrodes; and a bit line via disposed on and connected to another one of the first electrodes.
  • the device further includes one or more transistors; and an N th metal layer over the one or more transistors, N being a natural number, wherein the first channel is disposed over the N th metal layer.
  • the device further includes an interfacial layer between the FE layer and each of the first and the second channels.
  • the FE layer includes HfO 2 ; Hf x Zr 1-x O 2 ; HfO 2 doped by Si, Y, Ge, or La; AlScN; or AlN.
  • the first and the second channels include an oxide semiconductor or polysilicon.
  • the inner spacer layer includes a dielectric material having a dielectric constant less than 10.
  • the first and the second electrodes include TiN, TaN, Ru, or W. In another embodiment of the device, the first and the second electrodes extend lengthwise along a first direction, and the first electrodes extend longer than the second electrodes.
  • the present disclosure is directed to a device that includes a plurality of transistors; and a 3D FERAM structure above the plurality of transistors.
  • the 3D FERAM structure includes multiple unit cells vertically stacked one over another.
  • Each unit cell includes a semiconductor layer, a ferroelectric (FE) layer surrounding a portion of the semiconductor layer, a gate layer over the FE layer and surrounding the portion of the semiconductor layer, an isolation layer under the semiconductor layer, a metal layer over the semiconductor layer, and a dielectric spacer layer over the semiconductor layer and between the FE layer and the metal layer.
  • FE ferroelectric
  • the dielectric spacer layer includes a dielectric material having a dielectric constant less than 10.
  • the FE layer includes HfO 2 , Hf x Zr 1-x O 2 , HfO 2 doped by Si, Y, Ge, or La, AlScN, or AlN;
  • the metal layer includes TiN, TaN, Ru, or W;
  • the semiconductor layer includes an oxide semiconductor or polysilicon.
  • the device further includes an interfacial layer between the FE layer and the portion of the semiconductor layer.
  • the present disclosure is directed to a method that includes forming a stack of multi-layers, where each multi-layer includes a first isolation layer, a semiconductor layer over the first isolation layer, and a first metal layer over the semiconductor layer.
  • the method further includes etching the stack of multi-layers to form gate trenches in a channel region of the stack of multi-layers; removing the first isolation layers and the first metal layers from the channel region, resulting in channel portions of the semiconductor layers exposed in the gate trenches; laterally recessing the first metal layers from the gate trenches, resulting in gaps between adjacent layers of the first isolation layers and the semiconductor layers; forming an inner spacer layer in the gaps; forming a ferroelectric (FE) layer surrounding each of the channel portions of the semiconductor layers and over sidewalls of the gate trenches, wherein the inner spacer layer is disposed laterally between the FE layer and the first metal layers; and depositing a metal gate layer over the FE layer and filling the gate trenches.
  • FE ferroelectric
  • the forming of the inner spacer layer includes depositing a dielectric material surrounding the channel portions of the semiconductor layers and filling the gaps; and etching the dielectric material such that the dielectric material is removed from outer surfaces of the channel portions of the semiconductor layers but at least a portion of the dielectric material remains in the gaps, wherein the portion of the dielectric material remaining in the gaps becomes the inner spacer layer.
  • the method further includes etching the metal gate layer and the FE layer to form metal gates separated by isolation trenches and filling the isolation trenches with a second isolation layer.
  • the method includes etching the second isolation layer and the stack of multi-layers to form a staircase structure having multiple steps one over another, each step including a portion of the second isolation layer, two portions of the inner spacer layer adjacent to an upper section of the portion of the second isolation layer, and two portions of one of the multi-layers sandwiching both the portion of the second isolation layer and the two portions of the inner spacer layer.
  • the method includes forming vias on the first metal layer at each of the multiple steps.
  • the inner spacer layer includes a dielectric material having a dielectric constant less than 10.
  • the present disclosure is directed to a method that includes forming a stack of multi-layers where each multi-layer includes a first isolation layer, a semiconductor layer over the first isolation layer, and a first metal layer over the semiconductor layer; forming gate trenches in a channel region of the stack of multi-layers, wherein channel portions of the semiconductor layers are exposed in the gate trenches; laterally recessing the first metal layers from the gate trenches, resulting in gaps between adjacent layers of the first isolation layers and the semiconductor layers; forming an inner spacer layer in the gaps; forming a ferroelectric (FE) layer surrounding each of the channel portions of the semiconductor layers and over sidewalls of the gate trenches, wherein the inner spacer layer is disposed laterally between the FE layer and each of the first metal layers; and forming metal gates over the FE layer, wherein each of the metal gates engages multiple of the channel portions of the semiconductor layers that are disposed one over another.
  • FE ferroelectric
  • the forming of the gate trenches includes etching the stack of multi-layers in a channel region of the stack of multi-layers by a first etching process, resulting in gate trenches; and removing the first isolation layers and the first metal layers from the channel region by a second etching process different from the first etching process, resulting in the channel portions of the semiconductor layers exposed in the gate trenches.
  • the forming of the inner spacer layer includes: depositing a dielectric material surrounding the channel portions of the semiconductor layers and filling the gaps; and etching the dielectric material such that the dielectric material is removed from the channel portions of the semiconductor layers but at least a portion of the dielectric material remains in the gaps, wherein the portion of the dielectric material remaining in the gaps becomes the inner spacer layer.
  • the forming of the metal gates includes: depositing one or more metal gate layers over the FE layer and filling the gate trenches; etching the one or more metal gate layers and the FE layer to form the metal gates separated by isolation trenches; and filling the isolation trenches with a second isolation layer.

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Semiconductor Memories (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)
  • Bipolar Transistors (AREA)
US17/106,516 2020-06-29 2020-11-30 High density 3D FERAM Active 2040-12-04 US11450686B2 (en)

Priority Applications (7)

Application Number Priority Date Filing Date Title
US17/106,516 US11450686B2 (en) 2020-06-29 2020-11-30 High density 3D FERAM
DE102020132592.4A DE102020132592A1 (de) 2020-06-29 2020-12-08 3d feram mit hoher dichte
KR1020210010388A KR102572467B1 (ko) 2020-06-29 2021-01-25 고밀도 3d feram
TW110104834A TWI781531B (zh) 2020-06-29 2021-02-08 三維鐵電隨機存取記憶體與半導體裝置
TW111140378A TWI806792B (zh) 2020-06-29 2021-02-08 製造半導體裝置的方法
CN202110215766.1A CN113410255A (zh) 2020-06-29 2021-02-26 高密度3d feram
US17/868,278 US20220352208A1 (en) 2020-06-29 2022-07-19 High density 3d feram

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US202063045631P 2020-06-29 2020-06-29
US17/106,516 US11450686B2 (en) 2020-06-29 2020-11-30 High density 3D FERAM

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US17/868,278 Division US20220352208A1 (en) 2020-06-29 2022-07-19 High density 3d feram

Publications (2)

Publication Number Publication Date
US20210408043A1 US20210408043A1 (en) 2021-12-30
US11450686B2 true US11450686B2 (en) 2022-09-20

Family

ID=77675850

Family Applications (2)

Application Number Title Priority Date Filing Date
US17/106,516 Active 2040-12-04 US11450686B2 (en) 2020-06-29 2020-11-30 High density 3D FERAM
US17/868,278 Pending US20220352208A1 (en) 2020-06-29 2022-07-19 High density 3d feram

Family Applications After (1)

Application Number Title Priority Date Filing Date
US17/868,278 Pending US20220352208A1 (en) 2020-06-29 2022-07-19 High density 3d feram

Country Status (5)

Country Link
US (2) US11450686B2 (zh)
KR (1) KR102572467B1 (zh)
CN (1) CN113410255A (zh)
DE (1) DE102020132592A1 (zh)
TW (2) TWI781531B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN117545271A (zh) * 2022-08-01 2024-02-09 长鑫存储技术有限公司 半导体结构的制备方法及半导体结构

Citations (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130026553A1 (en) 2011-07-26 2013-01-31 Synopsys, Inc. NVM Bitcell with a Replacement Control Gate and Additional Floating Gate
CN104115273A (zh) * 2011-12-19 2014-10-22 英特尔公司 高电压场效应晶体管
US20160005873A1 (en) 2014-07-03 2016-01-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and display device including the semiconductor device
TW201712906A (zh) 2015-09-07 2017-04-01 林育中 磁穿隧接面及三維磁穿隧接面陣列
US20170162702A1 (en) * 2015-12-04 2017-06-08 The Regents Of The University Of California 3d transistor having a gate stack including a ferroelectric film
CN108475697A (zh) * 2015-12-22 2018-08-31 英特尔公司 具有嵌入式电介质间隔的纳米线晶体管
US20180350994A1 (en) 2015-11-20 2018-12-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, display device including the semiconductor device, and an electronic device including the semiconductor device
TW201904022A (zh) 2017-05-31 2019-01-16 台灣積體電路製造股份有限公司 半導體裝置
TW201919129A (zh) 2017-11-13 2019-05-16 美商格芯(美國)集成電路科技有限公司 基於在沉積的非晶半導體材料基礎上形成結晶半導體材料的技術及相關的半導體裝置
TW201926576A (zh) 2017-11-09 2019-07-01 台灣積體電路製造股份有限公司 記憶單元及其製造方法
US20190355738A1 (en) 2018-05-18 2019-11-21 Yangtze Memory Technologies Co., Ltd. Staircase formation in three-dimensional memory device
US20200013870A1 (en) * 2018-07-05 2020-01-09 Samsung Electronics Co., Ltd. Semiconductor devices
US10535659B2 (en) * 2017-09-29 2020-01-14 Samsung Electronics Co., Ltd. Semiconductor memory devices
US20200035696A1 (en) 2016-09-30 2020-01-30 Institute Of Microellectronics, Chinese Academy Of Sciences Memory device, method of manufacturing the same, and electronic device including the same
WO2020021913A1 (ja) * 2018-07-26 2020-01-30 ソニーセミコンダクタソリューションズ株式会社 半導体装置
US20200075770A1 (en) * 2018-09-05 2020-03-05 Intel Corporation Integrated circuit structures having differentiated neighboring partitioned source or drain contact structures
US20210408289A1 (en) * 2020-06-26 2021-12-30 Intel Corporation Nanowire transistors and methods of fabrication

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180350685A1 (en) * 2011-06-28 2018-12-06 Monolithic 3D Inc. 3d semiconductor device and system
US8599616B2 (en) * 2012-02-02 2013-12-03 Tower Semiconductor Ltd. Three-dimensional NAND memory with stacked mono-crystalline channels
CN102623385A (zh) * 2012-03-31 2012-08-01 上海华力微电子有限公司 基于SOI三维阵列式后栅型Si-NWFET制造方法
US9548348B2 (en) * 2013-06-27 2017-01-17 Cypress Semiconductor Corporation Methods of fabricating an F-RAM
US11978731B2 (en) * 2015-09-21 2024-05-07 Monolithic 3D Inc. Method to produce a multi-level semiconductor memory device and structure
US20170172326A1 (en) * 2015-12-22 2017-06-22 Rebecca Violette Moisture management bed sheet
US20190139830A1 (en) * 2017-11-03 2019-05-09 Globalfoundries Inc. Self-aligned gate isolation
US10763270B2 (en) * 2018-04-27 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming an integrated circuit and an integrated circuit
US10872825B2 (en) * 2018-07-02 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
KR102614997B1 (ko) * 2018-07-05 2023-12-20 삼성전자주식회사 반도체 소자
US10686050B2 (en) * 2018-09-26 2020-06-16 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11069793B2 (en) * 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Co., Ltd. Reducing parasitic capacitance for gate-all-around device by forming extra inner spacers
US11101359B2 (en) * 2018-11-28 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Gate-all-around (GAA) method and devices
US11581336B2 (en) * 2020-01-30 2023-02-14 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor memory structure and device
DE102020127584B4 (de) * 2020-05-28 2024-05-29 Taiwan Semiconductor Manufacturing Co., Ltd. Dreidimensionale speichervorrichtung mit ferroelektrischemmaterial
US11678492B2 (en) * 2020-06-18 2023-06-13 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device, semiconductor device and manufacturing method of the memory device
US20210408227A1 (en) * 2020-06-26 2021-12-30 Intel Corporation Transition metal dichalcogenide nanowires and methods of fabrication

Patent Citations (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201308522A (zh) 2011-07-26 2013-02-16 Synopsys Inc 具有一替換控制閘極及額外浮動閘極之非揮發性記憶體位元單元
US20130026553A1 (en) 2011-07-26 2013-01-31 Synopsys, Inc. NVM Bitcell with a Replacement Control Gate and Additional Floating Gate
CN104115273A (zh) * 2011-12-19 2014-10-22 英特尔公司 高电压场效应晶体管
US20160005873A1 (en) 2014-07-03 2016-01-07 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device and display device including the semiconductor device
TW201712906A (zh) 2015-09-07 2017-04-01 林育中 磁穿隧接面及三維磁穿隧接面陣列
US20180350994A1 (en) 2015-11-20 2018-12-06 Semiconductor Energy Laboratory Co., Ltd. Semiconductor device, display device including the semiconductor device, and an electronic device including the semiconductor device
US20170162702A1 (en) * 2015-12-04 2017-06-08 The Regents Of The University Of California 3d transistor having a gate stack including a ferroelectric film
CN108475697A (zh) * 2015-12-22 2018-08-31 英特尔公司 具有嵌入式电介质间隔的纳米线晶体管
US20200035696A1 (en) 2016-09-30 2020-01-30 Institute Of Microellectronics, Chinese Academy Of Sciences Memory device, method of manufacturing the same, and electronic device including the same
TW201904022A (zh) 2017-05-31 2019-01-16 台灣積體電路製造股份有限公司 半導體裝置
US10535659B2 (en) * 2017-09-29 2020-01-14 Samsung Electronics Co., Ltd. Semiconductor memory devices
TW201926576A (zh) 2017-11-09 2019-07-01 台灣積體電路製造股份有限公司 記憶單元及其製造方法
TW201919129A (zh) 2017-11-13 2019-05-16 美商格芯(美國)集成電路科技有限公司 基於在沉積的非晶半導體材料基礎上形成結晶半導體材料的技術及相關的半導體裝置
US20190355738A1 (en) 2018-05-18 2019-11-21 Yangtze Memory Technologies Co., Ltd. Staircase formation in three-dimensional memory device
US20200013870A1 (en) * 2018-07-05 2020-01-09 Samsung Electronics Co., Ltd. Semiconductor devices
WO2020021913A1 (ja) * 2018-07-26 2020-01-30 ソニーセミコンダクタソリューションズ株式会社 半導体装置
US20200075770A1 (en) * 2018-09-05 2020-03-05 Intel Corporation Integrated circuit structures having differentiated neighboring partitioned source or drain contact structures
US20210408289A1 (en) * 2020-06-26 2021-12-30 Intel Corporation Nanowire transistors and methods of fabrication

Non-Patent Citations (1)

* Cited by examiner, † Cited by third party
Title
Chang, Chia-Hao et al., "Semiconductor Devices with Embedded Ferroelectric Field Effect Transistors," U.S. Appl. No. 16/939,909, filed Jul. 27, 2020, Assignee: Taiwan Semiconductor Manufacturing Co., Ltd., 28 pages specification, 23 pages drawings.

Also Published As

Publication number Publication date
DE102020132592A1 (de) 2021-12-30
US20220352208A1 (en) 2022-11-03
KR20220001430A (ko) 2022-01-05
US20210408043A1 (en) 2021-12-30
TW202218135A (zh) 2022-05-01
TW202308134A (zh) 2023-02-16
CN113410255A (zh) 2021-09-17
TWI781531B (zh) 2022-10-21
TWI806792B (zh) 2023-06-21
KR102572467B1 (ko) 2023-08-29

Similar Documents

Publication Publication Date Title
US11164961B2 (en) Epitaxial features confined by dielectric fins and spacers
US10153290B2 (en) Structure and method for single gate non-volatile memory device
US11776602B2 (en) Memory array staircase structure
US11910615B2 (en) Memory device and manufacturing method thereof
KR102602495B1 (ko) 메모리 어레이 격리 구조물들
US11729987B2 (en) Memory array source/drain electrode structures
CN113809093A (zh) 三维记忆体装置制造的方法
US11515332B2 (en) Ferroelectric memory device and method of forming the same
US11974441B2 (en) Memory array including epitaxial source lines and bit lines
US20220285349A1 (en) Memory Cell and Method
CN113593625A (zh) 存储器装置
US20220352208A1 (en) High density 3d feram
TWI773208B (zh) 三維記憶體裝置及其形成方法
TWI807270B (zh) 記憶胞、半導體元件及形成半導體元件的方法
US11950427B2 (en) Ferroelectric memory device and method of forming the same
US20230197802A1 (en) Connection between gate and source/drain feature
US20230413572A1 (en) Gate-last tri-gate fefet
TWI834125B (zh) 記憶體裝置以及其形成方法
US20240021468A1 (en) Devices with reduced capacitances

Legal Events

Date Code Title Description
FEPP Fee payment procedure

Free format text: ENTITY STATUS SET TO UNDISCOUNTED (ORIGINAL EVENT CODE: BIG.); ENTITY STATUS OF PATENT OWNER: LARGE ENTITY

STPP Information on status: patent application and granting procedure in general

Free format text: DOCKETED NEW CASE - READY FOR EXAMINATION

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NON FINAL ACTION MAILED

STPP Information on status: patent application and granting procedure in general

Free format text: RESPONSE TO NON-FINAL OFFICE ACTION ENTERED AND FORWARDED TO EXAMINER

STPP Information on status: patent application and granting procedure in general

Free format text: NOTICE OF ALLOWANCE MAILED -- APPLICATION RECEIVED IN OFFICE OF PUBLICATIONS

STPP Information on status: patent application and granting procedure in general

Free format text: PUBLICATIONS -- ISSUE FEE PAYMENT VERIFIED

STCF Information on status: patent grant

Free format text: PATENTED CASE