TWI833682B - 鐵電記憶體裝置 - Google Patents

鐵電記憶體裝置 Download PDF

Info

Publication number
TWI833682B
TWI833682B TW112142450A TW112142450A TWI833682B TW I833682 B TWI833682 B TW I833682B TW 112142450 A TW112142450 A TW 112142450A TW 112142450 A TW112142450 A TW 112142450A TW I833682 B TWI833682 B TW I833682B
Authority
TW
Taiwan
Prior art keywords
layer
electrode
memory device
ferroelectric
transistor
Prior art date
Application number
TW112142450A
Other languages
English (en)
Other versions
TW202410037A (zh
Inventor
郭美瀾
胡禺石
震宇 呂
孫堅華
Original Assignee
大陸商無錫舜銘存儲科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 大陸商無錫舜銘存儲科技有限公司 filed Critical 大陸商無錫舜銘存儲科技有限公司
Application granted granted Critical
Publication of TWI833682B publication Critical patent/TWI833682B/zh
Publication of TW202410037A publication Critical patent/TW202410037A/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Semiconductor Memories (AREA)

Abstract

一種鐵電記憶體裝置包括多個記憶體單元和周邊電路。 每個記憶體單元包括至少一個第一電晶體、至少一個形成在第一電晶體上方並與至少一個電晶體電接觸的第一內連層、以及通過至少一個電耦合到至少一個第一電晶體的至少一個電容器 一個第一內連層。 走線結構設置在多個存儲單元和外圍電路之上,以電連接多個存儲單元和外圍電路。 第二內連層設置在走線結構上方。 至少一電容設置於走線結構與至少一第一內連層的最上層導電層之間。 第二內連層包括不超過一個導電層。

Description

鐵電記憶體裝置
本公開的實施例關於記憶體裝置及其形成方法,且特別是關於鐵電記憶體裝置及其形成方法。
對適用於移動終端和積體電路(IC)卡等各種電子設備的具有低工作電壓、低功耗和高速工作的非揮發性記憶體的需求已經增加。鐵電記憶體,例如鐵電動態隨機記憶體(FeRAM或FRAM),使用鐵電材料層來實現非揮發性。鐵電材料在施加的電場和表觀存儲電荷之間具有非線性關係,因此可以在電場中切換極性。鐵電記憶體的優勢包括低功耗、快速寫入性能和極大的讀/寫耐久性。
在此公開了鐵電記憶體及其形成方法的實施例。
依據一目的,公開了一種鐵電記憶體裝置。該鐵電記憶體裝置包括:複數個記憶體單元、一周邊電路以及一走線結構。每一記憶體單元包括:至少一第一電晶體;至少一第一內連層形成在該至少一個第一電晶體上方並且與該至少一個第一電晶體電接觸;以及至少一電容器,通過該至少一個第一內連層電耦合到該至少一個第一電晶體。該電容器包括:一第一電極;一第二電極,圍繞該第一電極的至少第一部分,該第二電極電接觸該至少一 個第一內連層;以及一鐵電層,設置在該第一電極和該第二電極之間。該周邊電路設置以控制該些記憶體單元的操作。該走線結構設置在多個記憶體單元和周邊電路之上,以電連接多個記憶體單元和周邊電路。一第二內連層,設置在走線結構上方。該至少一電容設置於所述走線結構與所述至少一第一內連層的最上層導電層之間。該第二內連層包括不多於一層的導電層。
於部分實施例中,該走線結構包括與該第一電極直接接觸的第一走線層。於部分實施例中,該走線結構包括通過第一通孔結構與所述第一電極接觸的第一走線層。於部分實施例中,該鐵電層包括HfOx、ZrOx或HfOx和ZrOx的組合。
於部分實施例中,該周邊電路還包括:至少一第二電晶體;以及複數個第三內連層,電耦合到該至少一個第二電晶體。該些第三內連層通過至少一個第二通孔結構與該走線結構接觸。
於部分實施例中,該佈線結構還包括與所述第一走線層共面的一第二走線層,該第二走線層通過該至少一個第二通孔結構與該些第二內連層接觸。
依據另一目的,揭露一種鐵電記憶體裝置。該鐵電記憶體裝置包括複數個記憶體單元與一周邊電路。每一記憶體單元包括:至少一第一電晶體;至少一第一內連層形成在該至少一個第一電晶體上方並且與該至少一個第一電晶體電接觸;一第一導電層,形成在該至少一個第一內連層上方,該第一導電層通過該至少一個第一內連層電耦合到該至少一個第一電晶體;以及至少一電容器,通過該至少一個第一內連層電耦合到該至少一個第一電晶體。該電容器包括:一第一電極;一第二電極,圍繞該第一電極的至少第 一部分,該第二電極電接觸該至少一個第一內連層;以及一鐵電層,設置在該第一電極和該第二電極之間。該周邊電路設置以控制該些記憶體單元的操作。該些記憶體單元的該第一電極用作該些記憶體單元之間的走線結構。
於部分實施例中,該記憶體裝置還包括一阻擋層,設置在該第一導電層和該第二電極之間。於部分實施例中,該阻擋層包括鉭或氮化鉭。
於部分實施例中,該阻擋層的寬度等於或大於該第二電極的寬度。於部分實施例中,一個記憶體單元的該第一電極與另一記憶體單元的該第一電極電接觸。
於部分實施例中,該周邊電路還包括:至少一第二電晶體;以及複數個第二內連層,電耦合到該至少一個第二電晶體。該些第二內連層通過至少一個通孔結構與該走線結構接觸。
於部分實施例中,該至少一個電容器的第一高度等於或小於該至少一個通孔結構的第二高度。
依據又一目的,揭示了一種鐵電記憶體裝置的形成方法。形成一半導體結構於一基板上,該半導體結構包括一記憶體區域及一周邊區域;形成一第一內連結構於該半導體結構的記憶體區域上以及一第二互連結構在該半導體結構的周邊區域上;形成一介電層在該第一內連結構和該第二內連結構上;形成一電容器在該第一內連結構上的該介電層中以及一通孔結構在第二內連結構上的介電層中;以及形成一走線結構在該電容器和該通孔結構上方。
於部分實施例中,形成一第一開口在該第一內連結構上方的該介電層中;形成一電容器在該第一開口中,該鐵電記憶體包括一第一電極、 圍繞該第一電極的至少第一部分的一第二電極以及設置在該第一電極和該第二電極之間的該鐵電層;形成一第二開口在該第二內連結構上方的該介電層中;以及形成該通孔結構在該第二開口中。
於部分實施例中,在該電容器和該通孔結構上形成一走線層。於部分實施例中,形成該走線結構在該電容器和該通孔結構上還包括:形成與該通孔結構接觸的第一走線層;以及利用部分的該第一電極作為一第二走線層。於部分實施例中,形成與該通孔結構接觸的一第一走線層;以及形成與該第一電極直接接觸的一第二走線層。
於部分實施例中,在該第一內連結構與該電容器之間形成一阻擋層。於部分實施例中,該阻擋層包括鉭或氮化鉭。
100:鐵電記憶體裝置
100A:鐵電記憶體裝置
102:記憶體單元
104:周邊電路
106:電晶體
108:內連結構
110:導電板
111:電容器
112:電極
114:電極
116:鐵電層
118:電晶體
120:內連結構
122:導電板
124:通孔結構
126:介電層
128:走線層
130:走線層
132:通孔
134:走線層
136:走線層
138:金屬層
140:基底
142:源/汲區
144:介電層
146:開口
148:保護層
150:導電層
152:導電層
154:阻擋層
200:鐵電記憶體裝置
300:鐵電記憶體裝置
300A:鐵電記憶體裝置
400:鐵電記憶體裝置
500:鐵電記憶體裝置
500A:鐵電記憶體裝置
600:鐵電記憶體裝置
902:操作
904:操作
906:操作
908:操作
910:操作
併入本申請並構成說明書一部分的附圖繪示了本公開的實施例,並且與說明書一起進一步用於解釋本公開的原理並且使得本領域技術人員能够做出和使用本公開。
圖1繪示了根據本公開的部分目的的示例性鐵電記憶體裝置的剖面。
圖2繪示了根據本公開的部分目的的另一示例性鐵電記憶體裝置的剖面。
圖3繪示了根據本公開的部分目的的又一示例性鐵電記憶體裝置的剖面。
圖4-10繪示了根據本公開的部分目的的于製程的不同階段的示例性鐵電記憶體裝置的剖面。
圖11繪示了根據本公開的部分目的的在製程的不同階段的另一個示例性鐵電記憶體裝置的剖面。
圖12-18繪示了根據本公開的部分目的的在製程的不同階段的另一示例性鐵電記憶體裝置的剖面。
圖19繪示了根據本公開的部分目的的在製程的不同階段的又一示例性鐵電記憶體裝置的剖面。
圖20繪示了根據本公開的部分目的的在製程的不同階段的另一示例性鐵電記憶體裝置的剖面。
圖21-24繪示了根據本公開的部分目的的在製程的不同階段的另一示例性鐵電記憶體裝置的剖面。
圖25繪示了根據本公開的部分目的的在製程的不同階段的另一示例性鐵電記憶體裝置的剖面。
圖26繪示了根據本公開的部分目的的在製程的不同階段的又一示例性鐵電記憶體裝置的剖面。
圖27繪示了根據本公開的部分目的的在製程的不同階段的又一示例性鐵電記憶體裝置的剖面。
圖28-32繪示了根據本公開的部分目的的在製程的不同階段的另一示例性鐵電記憶體裝置的剖面。
圖33-37繪示了根據本公開的部分目的的在製程的不同階段的另一示例性鐵電記憶體裝置的剖面。
圖38繪示了根據本公開的部分目的的用於形成記憶體裝置的示例性方法的流程圖。
本公開的實施例將參考附圖予以描述。
儘管討論了具體配置和設置,但是應當理解,這僅僅是為了圖解說明目的而進行的。本領域技術人員將認識到,可以使用其它配置和設置而不偏離本公開的精神和範圍。對本領域技術人員顯而易見的是,本發明也可用於其它多種應用。
應當注意,本發明說明書所提到的“一個實施例”、“一實施例”、“示例性實施例”、“一些實施例”等等是指,所描述的實施例可能包括特定特徵、結構或特性,但不是每個實施例都一定包括該特定特徵、結構或特性。此外,這樣的表述並不一定指同一個實施例。此外,當特定特徵、結構或特性結合某實施案例被描述時,屬本領域技術人員知識範圍的是,結合其它實施例來實施這樣的特定特徵、結構或特性,而不管是否在此明確說明。
一般來說,術語可以至少部分地根據上下文中的使用來理解。例如,在此使用的術語“一個或多個”,至少部分地根據上下文,可用於以單數形式來描述任何特徵、結構或特性,或以複數形式來描述特徵、結構或特性的組合。類似地,諸如“一個”、“一”、或“該”之類的術語又可以至少部分地根據上下文被理解為表達單數用法或表達複數用法。
能容易地理解的是,“在......上”、“在......之上”、以及“在......上方”在本發明中的含義應該以最寬泛方式來解釋,使得“在......上”不僅指直接處於某物上,而且還可以包括在有中間特徵或中間層位於二者之間的情況下處於某物上,並且“在......之上”、或“在.......上方”不僅指處於某物之上或上方,而且還可以包括在二者之間沒有中間特徵或中間層的情況下處於在某物之上或上方(即直接處於某物上)。
此外,空間相關術語,如“在......下面”、“在......之下”、“下部”、“在......之上”、“上部”等等可以在此用於方便描述一個元素或特徵相對於另一元素或特徵在附圖中示出的關係。空間相關術語旨在除了涵蓋裝置在附圖中描述的取向以外還涵蓋該裝置在使用或操作時的其它取向。裝置可以以其它方式被定向(旋轉90°或處於其它取向),並且這裏所用的空間相關描述相應地也可同樣地來解釋。
這裏所用的術語“層”是指包括具有厚度的某一區域的材料部位。層可以延伸到下方或上方結構的全部之上,或可以具有小於下方或上方結構的伸展。此外,層可以是同質或異質的連續結構的一個區域,該區域的厚度小於該連續結構的厚度。例如,層可位於任何一對程度平面之間,或位於該連續結構的頂面或底面處。層可程度地、垂直地、和/或沿錐形表面延伸。基底可以是層,可包括一個或多個層在其中,和/或可以具有一個或多個層在其上,和/或一個或多個層在其下。一層可包括多層。例如,內連物層可包括一個或多個導體和接觸層(其中形成接觸部、內連線和/或通孔)和一個或多個介電層。
這裏所用的術語“基底”是指後續材料層所添加到的材料。基底本身可以被圖案化。添加到基底之上的材料可以被圖案化,或者可保持未經圖案化。此外,基底可包括多種多樣的半導體材料、如矽、鍺、砷化鎵、磷化銦等。可替代地,基底也可由電學非導電材料、如玻璃、塑料、或藍寶石晶片製成。
這裏所用的術語“標稱的/標稱地”指某一部件、製程在產品或製程的設計階段設置的特性或參數的期望或目標值,還包括高於和/或低於所述期望值的值範圍。該值範圍可能是由於製程的輕微差異或公差引起的。這裏所用的“大約”是指如下給定數量的值:所述數量可能基於與所涉及半導體 裝置相關聯的特定技術節點而變化。基於特定技術節點,術語“大約”可以指如下給定數量的值:所述數量在例如該值的10%至30%範圍內變化(如該值±10%、±20%、或±30%)。
如本文所用,“側面”通常可以指物體外部的表面。例如,根據實施例,側面可以是沿程度方向(例如,x方向)的側壁或沿垂直方向(例如,z方向)的頂/底表面。如本文所用,凹槽是指兩個邊界之間的開放空間。例如,根據實施例,凹槽可以位於彼此不共面的兩個表面之間,例如,具有交錯配置。
鐵電記憶體裝置的記憶體單元陣列可以包括延伸為彼此交叉的多個位線和多個字線,並且多個記憶體單元可以在對應於各自的位置的矩陣中佈置線的交叉點。每個記憶體單元可以包括至少一個記憶體單元電晶體,其中記憶體單元電晶體的閘極電極可以接收來自字線的信號,以及至少一個鐵電電容器,其插入在記憶體單元電晶體的源區和單元之間板線。鐵電電容器具有殘餘極化特性,根據從位線通過記憶體單元電晶體施加到鐵電電容器的電壓與從單元施加到鐵電電容器的電壓之間的高/低關係,產生正或負的殘餘極化。板線。因此,鐵電記憶體裝置製造的一個限制是鐵電電容器的電容。根據本公開的各種實施例提供了可以增加鐵電電容器的電容的鐵電記憶體裝置及其製造方法。
圖1繪示了根據本公開的部分目的的示例性鐵電記憶體裝置100的剖面。鐵電記憶體裝置100包括至少一個記憶體單元102和至少一個周邊電路104。
記憶體單元102包括至少一個電晶體106和佈置在電晶體106上的內連結構108。在一些實施例中,內連結構108可以包括一個或多於一個內連層,如圖1所示。在一些實施例中,內連結構108可以電連接電晶體106的端 子之一。在一些實施例中,內連結構108可以電連接電晶體106的源極/汲極端子。
導電板110形成在內連結構108上方。在一些實施例中,導電板110可以是鐵電記憶體裝置100的單元著陸島。至少一個電容器111形成在導電板110上。鐵電記憶體裝置100可以包括多個記憶體單元102,並且每個記憶體單元102可以是鐵電記憶體裝置100的記憶元件,並且可以包括各種設計和配置。如圖。圖1繪示了“2T-2C”鐵電記憶體單元結構,其包括兩個電晶體和兩個電容器。然而,鐵電記憶體裝置100的電晶體和/或電容器的數量不限於此,鐵電記憶體單元結構的其他合適設計,例如1T-1C或nT-nC鐵電記憶體單元,也在本公開的範圍內。
電容器111通過內連結構108和導電板110電耦合到電晶體106。電容器111包括電極112和圍繞至少一部分的電極112的電極114。在一些實施例中,電極114電接觸導電板110。在一些實施例中,電極114直接接觸導電板110。鐵電層116設置在電極112和電極114之間。
鐵電層116可以包括氧和一種或多種鐵電金屬。鐵電金屬可包括但不限於鋯(Zr)、鉿(Hf)、鈦(Ti)、鋁(Al)或其他合適的材料。在一些實施例中,鐵電層116可以包括氧和兩種或更多種鐵電金屬。在一些實施例中,鐵電層116可以包括氧和諸如矽(Si)的非金屬材料。選擇性地,鐵電層116還可以包括形成為晶體結構的一部分的多種摻雜劑。在一些實施例中,摻雜劑補償在鐵電氧化物材料結晶過程中形成的缺陷以提高鐵電層116的膜質量。在一些實施例中,摻雜劑不同於鐵電氧化物材料中的鐵電金屬並且包括一種或來自鉿(Hf)、鋯(Zr)、鈦(Ti)、鋁(Al)、矽(Si)、氫(H)、氧(O)、釩(V)、鈮(Nb)、鉭(Ta)、釔(Y)和/或鑭(La)。在一些實施例中,鐵電層116可以包括HfOx、ZrOx或HfOx和ZrOx的組合。
周邊電路104係配置為控制記憶體單元102的操作。周邊電路104可以包括至少一個電晶體118,以及電耦合到電晶體118的內連結構120。在一些實施例中,周邊電路104包括板線驅動器。在一些實施例中,內連結構120可以包括一個或多於一個內連層,如圖1所示。在一些實施例中,內連結構120可以電連接電晶體118的端子之一。在一些實施例中,內連結構120可以電連接電晶體118的源極/汲極端子。
導電板122形成在內連結構120上方。在一些實施例中,導電板122可以是周邊電路104的金屬層。通孔結構124形成在導電板122上。如圖1所示,在一些實施例中,電容器111的高度小於通孔結構124的高度。在一些實施例中,電容器111的高度小於通孔結構124和導電板122的堆疊的高度。
介電層126可以形成在記憶體單元102和周邊電路104之上,並且走線結構可以形成在介電層126中或之上。走線結構包括走線層128和走線層130。走線層128是通過通孔結構132電連接到電極112,並且走線層130電連接到通孔結構124。在一些實施例中,內連結構可以進一步形成在走線結構之上,其中內連層包括不超過一個導電層。在一些實施例中,在走線結構上方沒有內連結構並且在走線結構上方沒有形成導電層。這裡的“形成在上面”的描述是指形成在記憶體單元區域正上方的空間中。換言之,在記憶體單元區域的正上方並與記憶體單元區域重疊的導電層不超過一個。記憶體單元應該是功能單元,而不是虛擬單元。在這種情況下,即使是焊墊層也不應該直接位於記憶體單元區域的上方。
在一些實施例中,走線結構可以包括不超過一個導電層。在一些實施例中,走線結構可以包括不超過一個導電層和一個通孔結構。在一些實施例中,走線結構上方的內連結構可以包括不超過一個導電層。在一些實施例中,走線結構上方的內連結構可以包括不超過一個導電層和不超過一個 通孔結構。這裡的“一個導電層”的描述是指在相同的製造過程中形成的一個導電層,並且可以具有相同的材料。例如,走線層128和走線層130可以在相同的製程中形成並且包括相同的材料,並且走線層128和走線層130在本公開中被定義為“一個導電層”。
圖2繪示了根據本公開的部分目的的另一個示例性鐵電記憶體裝置200的剖面。鐵電記憶體裝置200相似於鐵電記憶體裝置100,但是電極112可以用作鐵電記憶體裝置200中的走線結構。
如圖2所示,介電層126可以形成在電極112上方,並且走線結構的一部分由電極112形成。走線層134可以形成在通孔結構124上。在一些實施例中,走線層134和電極112可以包括相同的材料。在一些實施例中,走線層134和電極112可以包括不同的材料。在一些實施例中,電容器111的高度小於通孔結構124的高度。在一些實施例中,電容器111的高度小於通孔結構124和走線層134的堆疊的高度。
圖3繪示了根據本公開的部分目的的又一示例性鐵電記憶體裝置300的剖面。鐵電記憶體裝置300相似於鐵電記憶體裝置100,但走線層136形成在電極112上與電極112直接接觸。
如圖3所示,介電層126可以形成在電極112和通孔結構124上,並且走線層136形成在介電層126中和電極112上與電極112直接接觸。走線層138形成在介電層126中並與電極112直接接觸。在一些實施例中,電容器111的高度小於通孔結構124的高度。在一些實施例中,電容器111的高度小於通孔結構124和走線層138的堆疊的高度。
在一些實施例中,如圖1所示,走線結構包括金屬層和通孔。電容器111的電極112通過通孔132與金屬層128連接。金屬層128和金屬層130接觸。因此,走線結構將電容器與周邊電路104電連接。如圖2所示,走線結 構包括部分電極112和走線層134而沒有額外的通孔或金屬層。在一些實施例中,走線層134和電極112接觸並且在同一製程中形成。走線層134與電極112將電容器111與周邊電路104電性連接。在一些實施例中,如圖3所示,走線結構包括沒有通孔的金屬層。金屬層136與電極112不通過通孔接觸,從而節省了罩幕層。金屬層136和金屬層138接觸並且在同一製程中形成。在一些實施例中,由於在金屬層136下方存在電容器111,金屬層138和金屬層136具有不同的厚度。走線結構包括沒有通孔的金屬層。在這些實施例中,記憶體單元102上方的走線結構包括不超過一個金屬層。因此,如圖1-3所示,通孔結構124和走線層130、134或138被設計為周邊電路104的最頂層金屬結構。周邊電路區中電容器上方的走線也包括不超過一層的金屬層。
在一些實施例中,導電板110和導電板122在同一製程中形成。在一些實施例中,導電板110和導電板122可以包括相同的材料。因此,電容器111可以設置在對應於周邊電路104的最頂層金屬層和倒數第二個金屬層的區域之間。通常,周邊電路104的最頂層金屬層和倒數第二個金屬層之間的空間具有周邊電路104的金屬結構的最大厚度。當在此區域形成電容器111時,電容器111可以具有更大的單元面積和足夠的電荷用於記憶體感測。因此,電容器111可以設置在對應於單個金屬結構層的區域中,如通孔結構124和走線層130、134或138的疊層,而不是佔據多層金屬結構。通過使用這種結構,可以簡化製程並且還可以提高記憶體單元的可靠性。圖4-10繪示了根據本公開的部分目的的在製程的不同階段的鐵電記憶體裝置100的剖面。圖38繪示了根據本公開的部分目的的用於形成記憶體裝置的示例性方法900的流程圖。為了更好地解釋本公開,圖4-10中的鐵電記憶體裝置100的剖面圖和圖38的9中的方法流程圖900將一併描述。可以理解的是,方法900中所示的操作並非盡描述的,並且可以在任何所示操作之前、之後或之間執行其他操作。此外,一 些操作可以與圖4-10和圖38所示的順序執行同時執行,或者以不同的順序執行。
如圖4和圖38中的操作902所示,在基底140上方形成半導體結構。半導體結構包括記憶體單元102(單元區域)和周邊電路104(周邊區域)。基底140可以包括矽(例如,單晶矽)、矽鍺(SiGe)、砷化鎵(GaAs)、鍺(Ge)、絕緣體上矽(SOI)或其他合適的材料。如圖1所示,半導體結構可以包括電晶體106和118。電晶體106和118中的每一個可以包括具有形成在基底140上的閘極介電質和閘極導體的閘極疊層,並且在基底140中形成源/汲區142。源/汲區142可以是基底中的摻雜部分,具有n型或p型摻雜劑在所需的摻雜程度。閘極介電質可以包括介電質材料,例如氧化矽(SiOx)、氮化矽(SiNx)或高k介電質材料,包括但不限於氧化鋁(Al2O3)、氧化鉿(HfO2)、氧化鉭(Ta2O5))、氧化鋯(ZrO2)、氧化鈦(TiO2)或其任意組合。閘極導體可以包括導電材料,包括但不限於鎢(W)、鈷(Co)、銅(Cu)、鋁(Al)、多晶矽、矽化物或它們的任何組合。閘極導體可以用作鐵電記憶體裝置100的字線。
如圖4和圖38中的操作904所示,內連結構108形成在半導體結構的單元區域上方,並且內連結構120形成在半導體結構的周邊區域上方。導電板110形成在內連結構108上,並且導電板122形成在內連結構120上。內連結構108和導電板110可以與源極/汲極區之一接觸並且電耦合到隨後形成的電容器的電極操作。在一些實施例中,內連結構108和導電板110可以包括銅(Cu)、氮化鈦(TiN)或鎢(W)。
如圖5和圖38中的操作906所示,在內連結構108和內連結構120上方形成介電層144。在一些實施例中,介電層144可以包括層間介電(ILD)層,例如SiOx或SiNx。然後,如圖38中的操作908所示,電容器111形成在內連結構108上方的介電層144中,並且通孔結構124形成在內連結構120上方的介電 層144中。在一些實施例中,電容器111在形成通孔結構124之前形成在介電層144中。在實施例中,在形成電容器111之前在介電層144中形成通孔結構124。在一些實施例中,在相同的製程期間在介電層144中形成電容器111和通孔結構124。
如圖5所示,在介電層144中形成開口146以暴露導電板110的頂表面。開口146可以通過乾蝕刻、濕蝕刻或其他合適的製程形成。然後,如圖所示。如圖6所示,電極114、鐵電層116和電極112順序地且順應地形成在開口146中。電極114電接觸導電板110。在一些實施例中,電極114和電極112可以包括TiN、氮化矽鈦(TiSiNx)、鈦氮化鋁(TiAlNx)、碳氮化鈦(TiCNx)、氮化鉭(TaNx)、氮化矽鉭(TaSiNx)、氮化鉭鋁(TaAlNx)、氮化鎢(WNx)、矽化鎢(WSix)、碳氮化鎢(WCNx))、釕(Ru)、氧化釕(RuOx)、銥(Ir)、摻雜的多晶矽、透明導電氧化物(TCO)、氧化銥(IrOx)或其他合適的材料。在一些實施例中,電極114和電極112可以包括相同的材料。在一些實施例中,電極114和電極112可以包括不同的材料。
在一些實施例中,電極114和電極112可以通過原子層沉積(ALD)、物理氣相沉積(PVD)、化學氣相沉積(CVD)、電化學沉積、脈衝激光沉積(PLD)或其他合適的製程。在一些實施例中,電極114和電極112可以具有約2nm和約50nm之間的厚度。在一些實施例中,電極114和電極112可以具有相同的厚度。在一些實施例中,電極114和電極112可以具有不同的厚度。
在一些實施例中,鐵電層116可以包括鐵電氧化物材料。鐵電氧化物可以摻雜多種摻雜劑,這可以提高鐵電薄膜的結晶度。例如,摻雜劑可以在摻雜鐵電層結晶過程中提供彈性,減少鐵電膜結晶中形成的缺陷數量,並改善高K鐵電相的形成。應當理解,在一些實施例中,鐵電層116可以包括多層結構。
在一些實施例中,鐵電層116可以包括鐵電複合氧化物。在一些實施例中,鐵電層116可以包括氧和一種或多種鐵電金屬。鐵電金屬可包括但不限於鋯(Zr)、鉿(Hf)、鈦(Ti)、鋁(Al)或其他合適的材料。在一些實施例中,鐵電層116可以包括氧和兩種或更多種鐵電金屬。在一些實施例中,鐵電層116可以包括氧和諸如矽(Si)的非金屬材料。
任選地,鐵電層116還可以包括形成為晶體結構的一部分的多種摻雜劑。在一些實施例中,摻雜劑補償在鐵電氧化物材料結晶過程中形成的缺陷以提高鐵電層116的膜質量。在一些實施例中,摻雜劑不同於鐵電氧化物材料中的鐵電金屬並且包括一種或來自Hf、Zr、Ti、Al、Si、氫(H)、氧(O)、釩(V)、鈮(Nb)、鉭(Ta)、釔(Y)和/或鑭(La)。在一些實施例中,鐵電層116可以包括HfOx、ZrOx或HfOx和ZrOx的組合。
如圖7所示,可以執行平坦化操作以去除電極112的一部分。如圖8所示,去除周邊電路104上方的部分鐵電層116和電極112,並在電極112和暴露的介電層144上方形成介電層126。如圖9所示,在介電層144和與導電板122電接觸的介電層126中形成通孔結構124。
如圖10和圖38中的操作910所示,走線層128形成在記憶體單元102之上,並且走線層130形成在周邊電路104之上。通孔結構124和走線層130被設計為周邊電路104的最頂層金屬結構。在一些實施例中,導電板110和導電板122是在同一過程中形成。在一些實施例中,導電板110和導電板122可以包括相同的材料。因此,電容器111可以設置在對應於周邊電路104的最頂層金屬層和倒數第二個金屬層的區域之間。通常,周邊電路104的最頂層金屬層和倒數第二個金屬層之間的空間具有周邊電路104的金屬結構的更大或最大厚度。當在該區域形成電容器111時,電容器111可以具有用於記憶體感測的更大單元面積和足夠的電荷。因此,電容器111可以設置在對應於單個金屬結 構的區域中,例如,通孔結構124和走線層130的堆疊,而不是佔據多層金屬結構。通過使用這種結構,可以簡化製程,也可以提高記憶體單元的可靠性。
圖11繪示了根據本公開的部分目的的在製程的不同階段的另一個示例性鐵電記憶體裝置100A的剖面。鐵電記憶體裝置100A的結構與鐵電記憶體裝置100的結構相似,且可以在介電層144和電極112之上設置保護層148。如圖11所示,在形成介電層126之前,沉積保護層148在介電層144和電極112上。在一些實施例中,保護層148可以包括AlOx。在一些實施例中,保護層148可以包括具有SiN、SiON或SiOC的AlOx。
圖12-18繪示了根據本公開的部分目的的在製程的不同階段的鐵電記憶體裝置200的剖面。
如圖12所示,形成半導體結構在基底140上方。半導體結構包括記憶體單元102(單元區域)和周邊電路104(周邊區域)。半導體結構可以包括電晶體106和118,並且電晶體106和118中的每一個可以包括形成在基底140中的閘極結構和源極/漏極區142。閘極結構可以用作鐵電記憶體裝置200的字線。內連結構108形成在半導體結構的單元區域上方,且內連結構120形成在半導體結構的周邊區域上方。
導電板110形成在內連結構108上,並且導電板122形成在內連結構120上。內連結構108和導電板110可以與源極/汲極區之一接觸並且電耦合後續操作中形成的電容器的電極。在內連結構108和內連結構120上方形成介電層144。然後,在內連結構120上方的介電層144中形成通孔結構124。
如圖13所示,在介電層144中形成開口146以暴露導電板110的頂表面。開口146可以通過乾法蝕刻、濕法蝕刻或其他合適的製程形成。然後,如圖所示。如圖14所示,電極114和鐵電層116依次順應地形成在開口146中,並且鐵電層116在沉積過程中進一步覆蓋通孔結構124。電極114電接觸導電板110。如 圖15所示,執行蝕刻操作以去除通孔結構124上方的鐵電層116的一部分以暴露通孔結構124。在一些實施例中,鐵電層116可以包括HfOx、ZrOx或HfOx和ZrOx的組合。
如圖16所示,然後在開口146中和鐵電層116上方順應地形成電極112。由於在之前的製程中去除了通孔結構124上方的鐵電層116,因此形成電極112的導電材料可以在形成電極的相同製程中覆蓋通孔結構124 112.此外,形成電極112的導電材料可以與通孔結構124直接接觸。
可以選擇性地執行平坦化操作以去除電極112的頂部。如圖17所示,執行蝕刻操作以去除電極112和鐵電層116的部分,並且通孔結構124上方的導電材料形成走線層134。電極112形成電容器111上方的走線層的一部分,並且走線層134和電極112可以包括相同的材料。然後,如圖所示。如圖18所示,介電層126形成在走線層134、電極112和介電層144之上。
[76]在一些實施例中,電極114、電極112和走線層134可以包括TiN、TiSiNx、TiAlNx、TiCNx、TaNx、TaSiNx、TaAlNx、WNx、WSix、WCNx、Ru、RuOx、Ir、摻雜多晶矽、TCO、IrOx,或其他合適的材料。在一些實施例中,電極114、電極112和走線層134可以包括相同的材料。在一些實施例中,電極114、電極112和走線層134可以包括不同的材料。由於通過電極112的形成,電容器111上方的走線層和鐵電記憶體裝置200中周邊電路上方的走線層在同一製程中形成,因此鐵電記憶體裝置200的製程可以進一步簡化並且製造成本也可被降低。
圖19繪示了根據本公開的部分目的的在製程的不同階段的鐵電記憶體裝置300的剖面。鐵電記憶體裝置300的結構相似於鐵電記憶體裝置100的結構,但鐵電記憶體裝置300中的走線層136與電極112直接接觸。不同與通過在 結構132中的通孔電連接走線層136和電極112的鐵電記憶體裝置100,鐵電記憶體裝置300中的走線層136與電極112直接接觸而沒有通孔結構132。
圖20繪示了根據本公開的部分目的的在製程的不同階段的另一示例性鐵電記憶體裝置300A的剖面。鐵電記憶體裝置300A的結構與鐵電記憶體裝置300的結構相似,保護層148可以設置在介電層144和電極112之上。如圖20所示,在形成介電層126之前,沉積保護層148在介電層144和電極112上。在一些實施例中,保護層148可以包括AlOx。在一些實施例中,保護層148可以包括具有SiN、SiON或SiOC的AlOx。
圖21-24繪示了根據本公開的部分目的的在製程的不同階段的另一示例性鐵電記憶體裝置400的剖面。如圖所示。如圖21所示,在內連結構108和內連結構120上方形成介電層144,並且在內連結構120上方的介電層144中形成通孔結構124。此外,導電層150進一步形成在通孔結構124上方的介電層144中。開口146形成在介電層144中以暴露導電板110的頂表面。開口146可以通過乾法蝕刻、濕法蝕刻或其他合適的製程而形成。
然後,如圖22所示,電極114、鐵電層116和電極112順序且順應地形成在開口146中。可以選擇性地執行平坦化操作以去除電極112的頂部。鐵電層116和電極112可以覆蓋導電層150。在一些實施例中,鐵電層116可以包括HfOx、ZrOx或HfOx和ZrOx的組合。
如圖23所示,去除周邊電路104上方的部分鐵電層116和電極112以暴露導電層150。如圖24所示,形成介電層126在電極112和暴露的導電層150之上。形成走線層136在介電層126中並且通過通孔結構132電連接到電極112。形成走線層138在介電層126中並且通過通孔結構152電連接到導電層150。
圖25繪示了根據本公開的部分目的的在製程的不同階段的另一示例性鐵電記憶體裝置400A的剖面。鐵電記憶體裝置400A的結構相似於鐵電記憶體裝置400的結構,並且保護層148可以設置在介電層144、電極112和導電層150之上。如圖25所示,在形成介電層126之前,保護層148沉積在介電層144、電極112和導電層150上。在一些實施例中,保護層148可以包括AlOx。在一些實施例中,保護層148可以包括具有SiN、SiON或SiOC的AlOx。
圖26繪示了根據本公開的部分目的的在製程的不同階段的另一示例性鐵電記憶體裝置500的剖面。鐵電記憶體裝置500的結構與鐵電記憶體裝置400的結構相似,並且在形成介電層126之前執行平坦化操作以去除部分電容器111。
如圖26所示,進行平坦化操作以去除電極112和鐵電層116的部分。在平坦化操作之後,電容器111的頂面與導電層150的頂面基本共面。此外,可在介電層126中形成多於一個的通孔結構132以電連接走線層136和電極112。
圖27繪示了根據本公開的部分目的的在製程的不同階段的另一示例性鐵電記憶體裝置500A的剖面。鐵電記憶體裝置500A的結構相似於鐵電記憶體裝置500的結構,並且保護層148可以設置在介電層144、電極112和導電層150之上。如圖27所示,在形成介電層126之前,保護層148沉積在介電層144、電極112和導電層150之上。在一些實施例中,保護層148可以包括AlOx。在一些實施例中,保護層148可以包括具有SiN、SiON或SiOC的AlOx。
圖28-32繪示了根據本公開的部分目的的在製程的不同階段的另一示例性鐵電記憶體裝置600的剖面。如圖所示。如圖28所示,在內連結構108和內連結構120上方形成介電層144。在介電層144中形成開口146以暴露導 電板110的頂表面。開口146可以通過乾法蝕刻、濕法蝕刻或其他合適的製程形成。
應當理解,通孔結構124和走線138未在鐵電記憶體裝置600中示出。圖28-32用於討論導電板110和電容器111之間的連接結構和製程。上面討論的通孔結構124和走線138的各種結構和製程也可以應用於鐵電記憶體裝置600。
如圖29所示,在介電層144的頂表面和開口146的底部上形成阻擋層154。在一些實施例中,阻擋層154可以包括TiN、TaN、Ta或其他合適的材料。在一些實施例中,阻擋層154可以通過CVD、PVD、CVD和PVD的組合或其他合適的製程形成。在一些實施例中,阻擋層154可以防止導電板110(例如由Cu形成的單元著陸島)和電極114之間的擴散。
如圖30所示,電極114形成在阻擋層154上方並且還覆蓋開口146的側壁。如圖31所示,去除介電層144上方的阻擋層154和電極114的部分。在一些實施例中,阻擋層154和介電層144上方的電極114的部分可以通過如化學機械拋光(CMP)的一種或多種平坦化操作來去除。在一些實施例中,阻擋層154和介電層144上方的電極114的部分可以通過空白蝕刻操作(例如乾法蝕刻製程)去除。如圖31所示,在一些實施例中,當蝕刻電極114時,電極114的頂面低於介電層144的頂面,在電極114的頂面與頂面之間定義有台階。
然後,如圖32所示,鐵電層116和電極112順序且順應地形成在開口146中。可選地,可以執行進一步的平坦化操作以去除電極112的頂部。
圖33-37繪示了根據本公開的一些方面的在製程的不同階段的另一示例性鐵電存儲器件700的橫截面。如圖33所示,在基底140上方形成半導體結構。半導體結構包括記憶體單元102(單元區域)和周邊電路104(周邊區域)。內連結構108形成在半導體結構的單元區域上方,並且內連結構120形成 在半導體結構的周邊區域上方。導電板110形成在內連結構108上,導電板122形成在內連結構120上。
如圖34所示,可以執行回蝕操作以去除導電板110的頂部和導電板122的頂部。在一些實施例中,回蝕操作可以包括CMP。在一些實施例中,當導電板110和導電板122由例如Cu的低硬度金屬形成時,與導電板110和導電板122周圍的介電材料相比,於CMP操作中導電板110和導電板122可以具有更高的去除率。因此,在CMP操作之後,可以在導電板110和導電板122的頂部形成凹槽,如圖34所示。
如圖35所示,形成阻擋層154在導電板110和導電板122上方並填充在導電板110和導電板122上的凹槽中。然後,可以執行平坦化操作,例如CMP,以去除阻擋層154的一部分,並且CMP操作被介電層例如氧化矽停止,如圖36所示。在導電板110和導電板122上形成阻擋層154之後,可以在阻擋層154上的介電層144中形成電容器111,如圖37所示。
具體實施例的上述描述將如此充分地揭示本公開的一般性質,以至於其他人可以通過應用本領域技術內的知識,容易地修改和/或適應各種應用,例如具體實施例,而沒有不當在不背離本公開的一般概念的情況下進行實驗。因此,基於本文所呈現的教導和指導,此類修改和修改旨在處於所公開實施例的等同物的含義和範圍內。應理解,本文中的措辭或術語是出於描述而非限制的目的,使得本說明書的術語或措辭將由本領域技術人員根據教導和指導來解釋。
上面已經借助功能構建塊描述了本公開的實施例,所述功能構建塊繪示了指定功能的實現及其關係。為了描述的方便,這裡已經任意定義了這些功能構建塊的邊界。只要適當地執行指定的功能及其關係,就可以定義替代邊界。
概述和摘要部分可以闡述如發明人所設想的本公開的一個或多個但不是所有示例性實施例,因此不以任何方式限制本公開和所附請求項。
本公開的廣度和範圍不應受到任何上述示例性實施例的限制,而應僅根據所附權利要求及其等同物來定義。
100:鐵電記憶體裝置
102:記憶體單元
104:周邊電路
106:電晶體
108:內連結構
110:導電板
111:電容器
112:電極
114:電極
116:鐵電層
118:電晶體
120:內連結構
122:導電板
124:通孔結構
126:介電層
128:走線層
130:走線層
132:通孔

Claims (13)

  1. 一種鐵電記憶體裝置,包括:複數個記憶體單元,每一記憶體單元包括:至少一第一電晶體;至少一第一內連結構形成在該至少一個第一電晶體上方並且與該至少一個第一電晶體電接觸;以及至少一電容器,通過該至少一個第一內連結構電耦合到該至少一個第一電晶體,包括:一第一電極;一第二電極,圍繞該第一電極的至少第一部分,該第二電極電接觸該至少一個第一內連結構;以及一鐵電層,設置在該第一電極和該第二電極之間;一周邊電路,設置以控制該些記憶體單元的操作;一走線結構,設置在該多個記憶體單元和該周邊電路之上,以電連接該多個記憶體單元和該周邊電路;以及一第二內連結構,設置在該走線結構上方,其中該至少一電容設置於該走線結構與該至少一第一內連結構的最上層導電層之間;以及其中,該第二內連結構包括不多於一層的導電層。
  2. 如請求項1的鐵電記憶體裝置,其中該走線結構包括與該第一電極直接接觸的第一走線層。
  3. 如請求項1的鐵電記憶體裝置,其中該走線結構包括通過第一通孔結構與所述第一電極接觸的第一走線層。
  4. 如請求項1的鐵電記憶體裝置,其中該鐵電層包括HfOx、ZrOx或HfOx和ZrOx的組合。
  5. 如請求項1的鐵電記憶體裝置,其中該周邊電路還包括:至少一第二電晶體;以及複數個第三內連結構,電耦合到該至少一個第二電晶體,其中該些第三內連結構通過至少一個第二通孔結構與該走線結構接觸。
  6. 如請求項5的鐵電記憶體裝置,其中該走線結構還包括與該第一走線層共面的一第二走線層,該第二走線層通過該至少一個第二通孔結構與該些第二內連結構接觸。
  7. 一種鐵電記憶體裝置,包括:複數個記憶體單元,每一記憶體單元包括:至少一第一電晶體;至少一第一內連結構形成在該至少一個第一電晶體上方並且與該至少一個第一電晶體電接觸;一第一導電層,形成在該至少一個第一內連結構上方,該第一導電層通過該至少一個第一內連結構電耦合到該至少一個第一電晶體;以及至少一電容器,通過該至少一個第一內連結構電耦合到該至少一個第一電晶體,包括:一第一電極;一第二電極,圍繞該第一電極的至少第一部分,該第二電極電接觸該至少一個第一內連結構;以及一鐵電層,設置在該第一電極和該第二電極之間; 以及一周邊電路,設置以控制該些記憶體單元的操作;其中該些記憶體單元的該第一電極用作該些記憶體單元之間的走線結構。
  8. 如請求項7所述的鐵電記憶體裝置,還包括一阻擋層,設置在該第一導電層和該第二電極之間。
  9. 如請求項8的鐵電記憶體裝置,其中該阻擋層包括鉭或氮化鉭。
  10. 如請求項8的鐵電記憶體裝置,其中該阻擋層的寬度等於或大於該第二電極的寬度。
  11. 如請求項7的鐵電記憶體裝置,其中一個記憶體單元的該第一電極與另一記憶體單元的該第一電極電接觸。
  12. 如請求項7的鐵電記憶體裝置,其中該周邊電路還包括:至少一第二電晶體;以及複數個第二內連結構,電耦合到該至少一個第二電晶體,其中該些第二內連結構通過至少一個通孔結構與該走線結構接觸。
  13. 如請求項12的鐵電記憶體裝置,其中該至少一個電容器的第一高度等於或小於該至少一個通孔結構的第二高度。
TW112142450A 2021-09-08 2022-09-07 鐵電記憶體裝置 TWI833682B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/CN2021/117067 WO2023035128A1 (en) 2021-09-08 2021-09-08 Ferroelectric memory device and method for forming the same
CNPCT/CN2021/117067 2021-09-08

Publications (2)

Publication Number Publication Date
TWI833682B true TWI833682B (zh) 2024-02-21
TW202410037A TW202410037A (zh) 2024-03-01

Family

ID=85506139

Family Applications (2)

Application Number Title Priority Date Filing Date
TW112142450A TWI833682B (zh) 2021-09-08 2022-09-07 鐵電記憶體裝置
TW111133980A TWI827246B (zh) 2021-09-08 2022-09-07 鐵電記憶體裝置的形成方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW111133980A TWI827246B (zh) 2021-09-08 2022-09-07 鐵電記憶體裝置的形成方法

Country Status (3)

Country Link
CN (1) CN117981490A (zh)
TW (2) TWI833682B (zh)
WO (1) WO2023035128A1 (zh)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111900170A (zh) * 2020-07-31 2020-11-06 无锡拍字节科技有限公司 一种三维铁电存储器结构及制造方法
CN111968980A (zh) * 2020-08-26 2020-11-20 无锡拍字节科技有限公司 一种存储器件的制造方法及其电容器
CN111968981A (zh) * 2020-08-26 2020-11-20 无锡拍字节科技有限公司 一种fcob存储器件的制造方法及其电容器
CN112382633A (zh) * 2020-11-11 2021-02-19 无锡拍字节科技有限公司 三维铁电存储器及其制造方法

Family Cites Families (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9548348B2 (en) * 2013-06-27 2017-01-17 Cypress Semiconductor Corporation Methods of fabricating an F-RAM
US10861861B2 (en) * 2018-12-14 2020-12-08 Intel Corporation Memory including a perovskite material

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN111900170A (zh) * 2020-07-31 2020-11-06 无锡拍字节科技有限公司 一种三维铁电存储器结构及制造方法
CN111968980A (zh) * 2020-08-26 2020-11-20 无锡拍字节科技有限公司 一种存储器件的制造方法及其电容器
CN111968981A (zh) * 2020-08-26 2020-11-20 无锡拍字节科技有限公司 一种fcob存储器件的制造方法及其电容器
CN112382633A (zh) * 2020-11-11 2021-02-19 无锡拍字节科技有限公司 三维铁电存储器及其制造方法

Also Published As

Publication number Publication date
TW202312164A (zh) 2023-03-16
CN117981490A (zh) 2024-05-03
TWI827246B (zh) 2023-12-21
WO2023035128A1 (en) 2023-03-16

Similar Documents

Publication Publication Date Title
US10403631B1 (en) Three-dimensional ferroelectric memory devices
US6737694B2 (en) Ferroelectric memory device and method of forming the same
US20010038115A1 (en) Semiconductor device having capacitive element structure and multilevel interconnection structure and method of fabricating the same
US6521929B2 (en) Semiconductor device having ferroelectric memory cells and method of manufacturing the same
KR100356135B1 (ko) 반도체 장치의 제조방법
US20100129938A1 (en) Semiconductor device and method of manufacturing the same
US6677630B1 (en) Semiconductor device having ferroelectric film and manufacturing method thereof
EP1241709A2 (en) Semiconductor memory and process for fabricating the same
CN111276509B (zh) 集成电路含可变电阻式存储器单元及电阻单元及形成方法
JPH11317500A (ja) 容量素子を有する半導体装置及びその製造方法
TWI833682B (zh) 鐵電記憶體裝置
WO2004077438A2 (en) Process of forming a ferroelectric memory integrated circuit
US6855565B2 (en) Semiconductor device having ferroelectric film and manufacturing method thereof
US6972990B2 (en) Ferro-electric memory device and method of manufacturing the same
CN113497045A (zh) 具有减少的边缘泄露的铁电存储器及其制造方法
TW202410037A (zh) 鐵電記憶體裝置
TWI839315B (zh) 形成鐵電記憶體裝置的方法
TWI816372B (zh) 鐵電記憶體裝置及其形成方法
WO2023035129A1 (en) Ferroelectric memory device and method for forming the same
TW202410036A (zh) 形成鐵電記憶體裝置的方法
TW202327057A (zh) 半導體元件及其製作方法
US20080061335A1 (en) Semiconductor memory and method for manufacturing the semiconductor memory
JP2002100745A (ja) 容量素子を有する半導体装置及びその製造方法
CN116133436A (zh) 半导体元件及其制作方法
JP2005223060A (ja) 強誘電体記憶装置及びその製造方法