TWI821725B - 半導體結構與其形成方法 - Google Patents

半導體結構與其形成方法 Download PDF

Info

Publication number
TWI821725B
TWI821725B TW110130079A TW110130079A TWI821725B TW I821725 B TWI821725 B TW I821725B TW 110130079 A TW110130079 A TW 110130079A TW 110130079 A TW110130079 A TW 110130079A TW I821725 B TWI821725 B TW I821725B
Authority
TW
Taiwan
Prior art keywords
source
layer
contact
drain
etch stop
Prior art date
Application number
TW110130079A
Other languages
English (en)
Other versions
TW202230530A (zh
Inventor
王朝勳
薛婉容
楊復凱
王美勻
王勝雄
黃仕賢
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202230530A publication Critical patent/TW202230530A/zh
Application granted granted Critical
Publication of TWI821725B publication Critical patent/TWI821725B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/32115Planarisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76895Local interconnects; Local pads, as exemplified by patent document EP0896365
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823475MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type interconnection or wiring or contact manufacturing related aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/528Geometry or layout of the interconnection structure
    • H01L23/5283Cross-sectional geometry
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53257Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being a refractory metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/535Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including internal interconnections, e.g. cross-under constructions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5221Crossover interconnections
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

此處揭露半導體結構與其製造方法。例示性的半導體結構包括隔有閘極結構的第一源極/汲極接點與第二源極/汲極接點;蝕刻停止層位於第一源極/汲極接點與第二源極/汲極接點上;導電結構位於蝕刻停止層中並直接接觸第一源極/汲極接點與第二源極/汲極接點;介電層位於蝕刻停止層上;以及接點通孔延伸穿過介電層並電性連接至導電結構。藉由提供導電結構,有利於減少半導體結構的內連線結構中的金屬線路數目。

Description

半導體結構與其形成方法
本發明實施例關於半導體結構,更特別關於導入夾層內連線層於中段製程結構與後段製程結構之間的結構與方法。
半導體積體電路產業已經歷指數成長。積體電路材料與設計的技術進展,使每一代的積體電路比前一代具有更小且更複雜的電路。在積體電路演進中,功能密度(比如單位晶片面積的內連線裝置數目)通常隨著幾何尺寸(比如採用的製作製程所能產生的最小構件或線路)縮小而增加。尺寸縮小的製程通常有利於增加產能並降低相關成本。尺寸縮小亦會增加製造與處理積體電路的複雜度。
已導入多閘極裝置如鰭狀場效電晶體與全繞式閘極電晶體,以增加閘極-通道耦合、減少關閉狀態電流、並減少短通道效應而改善閘極控制。多閘極裝置的三維結構可大幅減少尺寸,同時維持閘極控制並減緩短通道效應。然而就算導入多閘極裝置,大幅減少積體電路尺寸的作法仍造成緊密排列的閘極結構與源極/汲極接點、緊密排列的接點通孔、與對應的金屬線路。雖然現存的內連線結構通常適用於預期目的,但仍無法符合所有方面的需求。
例示性的半導體結構包括第一源極/汲極接點;第二源極/汲極接點,與第一源極/汲極接點隔有第一閘極結構;蝕刻停止層,位於第一源極/汲極接點與第二源極/汲極接點上;導電結構,位於蝕刻停止層中並直接接觸第一源極/汲極接點與第二源極/汲極接點;介電層,位於蝕刻停止層上;以及接點通孔,延伸穿過介電層並電性連接至導電結構。
另一例示性的半導體結構包括第一金屬接點,位於第一源極/汲極結構上;第一閘極結構,與第一金屬接點相鄰;蝕刻停止層,位於第一金屬接點與第一閘極結構上;金屬結構,延伸穿過蝕刻停止層並電性連接至第一金屬接點;層間介電層,位於蝕刻停止層上;以及接點通孔,延伸穿過層間介電層以耦接至金屬結構。金屬結構直接位於第一閘極結構的至少一部分上。
例示性的半導體結構的形成方法包括形成第一源極/汲極接點於第一源極/汲極結構上,並形成第二源極/汲極接點於第二源極/汲極結構上;沉積蝕刻停止層於第一源極/汲極接點與第二源極/汲極接點上;圖案化蝕刻停止層以形成導電結構開口而露出第一源極/汲極接點與第二源極/汲極接點;形成導電結構於導電結構開口中;形成介電層於導電結構與蝕刻停止層上;以及形成接點通孔以延伸穿過介電層而耦接至導電結構。
下述詳細描述可搭配圖式說明,以利理解本發明的各方面。值得注意的是,各種結構僅用於說明目的而未按比例繪製,如本業常態。實際上為了清楚說明,可任意增加或減少各種結構的尺寸。
可以理解的是,下述內容提供的不同實施例或例子可實施本發明實施例的不同結構。特定構件與排列的實施例係用以簡化本揭露而非侷限本發明。舉例來說,形成第一構件於第二構件上的敘述包含兩者直接接觸,或兩者之間隔有其他額外構件而非直接接觸。此外,本發明之多種實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。此外,可由不同比例任意繪示多種結構,使圖式簡化清楚。
此外,空間性的相對用語如「下方」、「其下」、「下側」、「上方」、「上側」、或類似用語可用於簡化說明某一元件與另一元件在圖示中的相對關係。空間性的相對用語可延伸至以其他方向使用之元件,而非侷限於圖示方向。舉例來說,若將圖式中的裝置翻轉,則下方或之下的元件將轉為上方或之上的元件。元件亦可轉動90°或其他角度,因此方向性用語僅用以說明圖示中的方向。
此外,當數值或數值範圍的描述有「約」、「近似」、或類似用語時,旨在涵蓋合理範圍內的數值,如本技術領域中具有通常知識者考量到製造過程中產生的固有變化。舉例來說,基於與製造具有與數值相關的已知製造容許範圍,數值或範圍涵蓋包括所述數目的合理範圍,例如在所述數目的+/- 10%以內。舉例來說,材料層的厚度為約5 nm且本技術領域中具有通常知識者已知沉積材料層的製造容許範圍為15%時,其包含的尺寸範圍為4.25 nm至5.75 nm。此外,本發明之多種實例可重複採用相同標號以求簡潔,但多種實施例及/或設置中具有相同標號的元件並不必然具有相同的對應關係。
製造積體電路的製程流程通常分成三種:前段製程、中段製程、與後段製程。前段製程通常關於製作積體電路裝置如電晶體的製程。舉例來說,前段製程可包含形成隔離結構、閘極結構、以及源極與汲極結構(通常視作源極/汲極結構)。中段製程可包含製作接點至積體電路裝置的導電結構(或導電區)的製程,比如製作接點至閘極結構及/或源極/汲極結構的製程。中段製程時製作的揭點可視作裝置級接點、金屬接點、及/或局部內連線。後段製程通常包含製作多層內連線結構的製程,以內連線前段製程與中段製程所製作的結構(此處可分別視作前段製程結構或中段製程結構),使積體電路裝置的操作可行。通常多層內連線結構中的每一層(亦可視作內連線層)包含至少一導電結構位於絕緣層中,比如金屬線路與通孔位於介電層中,其中通孔連接金屬線路至不同內連線層中的導電結構。內連線層的金屬線路與通孔可視作後段製程結構或全體的內連線。
如上所述,大幅縮小積體電路尺寸會造成緊密排列的電晶體,其會造成緊密排列的中段製程結構(如源極/汲極接點)與緊密排列的後段製程結構(如接點通孔與金屬線路)。製作緊密排列的中段製程結構與後段製程結構的挑戰,會進一步限制電晶體密度增加。為了解決這些限制,一些方法減少後段製程結構(如金屬線路)的間距,或減少後段製程結構(如金屬線路)的數目。然而追求前者可能會造成圖案化與填隙的挑戰,且追求後者可能會限制設計彈性。
本發明實施例提供結構與方法,以導入夾層內連線層於中段製程結構與後段製程結構之間。額外的夾層內連線層可減少後段製程結構(如金屬線路),並減少後段製程結構的密度。本發明實施例的結構可包含內連線層,其金屬結構埋置於蝕刻停止層中並位於源極/汲極接點與接點通孔之間。在所述例子中,金屬結構沿著一方向延伸以耦接兩個源極/汲極接點,而蝕刻停止層的上表面與金屬結構的上表面共平面。藉由提供內連線層,有利於控制半導體結構的內連線結構中的金屬線路數目。
本發明多種實施例將搭配圖式詳述如下。在此考量下,方法100係本發明實施例中,形成內連線結構的方法100的流程圖。方法100僅為舉例,而非侷限本發明實施例至方法100實際說明處。在方法100之前、之中、與之後可提供額外步驟,且方法的額外實施例可置換、省略、或調換一些所述步驟。此處未詳述所有步驟以簡化說明。方法100將搭配圖2至24說明如下。圖2顯示進行圖1的方法中的多種階段的例示性工件的三維透視圖。圖3至24係實施例中,依據圖1中的方法100的不同製作階段的工件200之部分剖視圖或部分佈局圖。為了避免疑問,圖2至24中的X、Y、及Z方向彼此垂直,且在圖2至24中所指的方向一致。由於工件200之後可製作成半導體裝置,其可依內容需求而視作半導體裝置。在本發明實施例中,類似標號將用於標示類似結構,除非額外說明。
如圖1及2所示,方法100的步驟12提供工件200。工件200包括基板202與多種結構形成其上。在所述實施例中,基板202包括矽。在其他或額外實施例中,基板202可包含另一半導體元素(如鍺)、半導體化合物(如碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦、及/或銻化銦)、半導體合金(如矽鍺、磷砷化鎵、砷化鋁銦、砷化鋁鎵、砷化鎵銦、磷化鎵銦、及/或磷砷化鎵銦)、或上述之組合。在一些實施方式中,基板202包括一或多種III-V族材料、一或多種II-VI族材料、或上述之組合。在一些實施方式中,基板202為絕緣層上半導體基板如絕緣層上矽基板、絕緣層上矽鍺基板、或絕緣層上鍺基板。絕緣層上半導體基板的製作方法可採用分離佈植氧、晶圓接合、及/或其他合適方法。基板202可包含依據半導體裝置如工件200的設計需求設置的多種摻雜區(未圖示),比如p型摻雜區、n型摻雜區、或上述之組合。p型摻雜區(比如p型井)包含p型摻質如硼、鎵、其他p型摻質、或上述之組合。n型摻雜區(如n型井)包含n型摻質如磷、砷、其他n型摻質、或上述之組合。在一些實施方式中,基板202包括的摻雜區由p型摻質與n型摻質的組合所形成。可進行離子佈植製程、擴散製程、及/或其他合適摻雜製程,以形成多種摻雜區。
如圖2所示,工件200包括多個鰭狀物210位於基板202上。在一些實施例中,鰭狀物210的形成方法可為圖案化基板202的一部分。在一些實施例中,鰭狀物210的形成方法可為圖案化沉積於基板202上的一或多個磊晶層。形成隔離結構204於鰭狀物210之間以分開相鄰的鰭狀物。在一些實施例中,隔離結構204可包含氧化矽、氮化矽、氮氧化矽、氟矽酸鹽玻璃、低介電常數的介電層、上述之組合、及/或其他合適材料。方法100將搭配鰭狀場效電晶體說明。應理解本發明的實施例亦可用於平面電晶體裝置與其他多閘極裝置。舉例來說,半導體裝置如工件200可包含多橋通道電晶體,而主動區可包含多橋通道電晶體的至少一奈米結構。主動區可包含交錯的矽層與矽鍺層磊晶成長於基板202上,以形成層堆疊。接著圖案化半導體層堆疊以形成奈米結構的鰭狀堆疊。接著選擇性移除鰭狀堆疊的通道區中的矽鍺層,可釋放矽層成懸空的奈米結構以形成通道區。
工件200包括虛置閘極結構212位於鰭狀物210的通道區上。在圖2所示的實施例中,虛置閘極結構212包覆鰭狀物210的通道區。雖然未圖示,每一虛置閘極結構212可包含虛置閘極介電層,與虛置閘極位於虛置閘極介電層上。虛置介電層可包含氧化矽,而虛置閘極層可包含多晶矽。閘極間隔物214可襯墊虛置閘極結構212的側壁。在一些實施例中,閘極間隔物214可包含碳氮化矽、碳氧化矽、碳氮氧化矽、或氮化矽。如圖2所示,剖線A-A’可切穿虛置閘極結構212。
如圖1及3所示,方法100的步驟14形成源極/汲極結構216與底部層間介電層224。源極/汲極結構216的形成方法可包含多種製程。舉例來說,可進行非等向蝕刻製程,使鰭狀物210中的源極/汲極區凹陷以形成源極/汲極溝槽。在形成源極/汲極溝槽之後,可進行磊晶成長製程以磊晶成長源極/汲極結構216於源極/汲極溝槽中。源極/汲極結構216的形成方法可為氣相磊晶、超高真空化學氣相沉積、低壓化學氣相沉積、及/或電漿輔助化學氣相沉積、分子束磊晶、其他合適的磊晶製程、或上述之組合。依據半導體裝置如工件200的設計,源極/汲極結構216可為n型或p型。當源極/汲極結構216為n型時,其可包含摻雜n型摻質如磷或砷的矽。當源極/汲極結構216為p型時,其可包含摻雜p型摻質如硼或鎵的矽鍺。在一些實施方式中,可進行退火製程以活化半導體裝置如工件200的源極/汲極結構216中的摻質。
在形成源極/汲極結構216之後,可沉積第一接點蝕刻停止層220與底部層間介電層224於工件200上。第一接點蝕刻停止層220的沉積方法可採用原子層沉積、電漿輔助原子層沉積、電漿輔助化學氣相沉積、及/或其他合適的沉積製程。底部層間介電層224包括的材料可為四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、或摻雜氧化矽如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、及/或其他合適的介電材料。底部層間介電層224的沉積方法可為化學氣相沉積製程、可流動的化學氣相沉積製程、旋轉塗佈製程、或其他合適的沉積技術。在圖3所示的實施例中,工件200亦包括第一硬遮罩層226形成於第一接點蝕刻停止層220與底部層間介電層224上。第一接點蝕刻停止層220與第一硬遮罩層226可包含氮化矽層、氮氧化矽層、及/或本技術領域已知的其他材料。在一實施例中,第一硬遮罩層226與第一接點蝕刻停止層220的組成均為氮化矽層。
如圖1及3所示,方法100的步驟16將虛置閘極結構置換成功能閘極結構。採用化學機械研磨製程平坦化工件200,以露出虛置閘極結構212的上表面。在所述例子中,接著移除虛置閘極結構212並置換成功能閘極結構228a至228c。功能閘極結構228a置228c可一起視作功能閘極結構228。可進行蝕刻製程以移除虛置閘極結構212,以形成閘極溝槽(未圖示)。蝕刻製程可包含一或多種蝕刻技術,比如濕蝕刻、乾蝕刻、反應性離子蝕刻。形成功能閘極結構228的方法,一開始形成閘極介電層(未圖示)於閘極溝槽中。閘極介電層可包含界面層與高介電常數的介電層。在一些實施例中,界面層可包含氧化矽。高介電常數的介電層的組成可為具有高介電常數的介電材料,比如介電常數大於氧化矽的介電常數(約3.9)。高介電常數的介電層所用的例示性高介電常數的介電材料包含氧化鉿、氧化鈦、氧化鉿鋯、氧化鉭、氧化鉿矽、氧化鋯矽、氧化鑭、氧化鋁、氧化釔、氧化鉿鑭、氧化鑭矽、氧化鋁矽、氧化鉿鉭、氧化鉿鈦、鈦酸鋇鍶、氮化矽、氮氧化矽、上述之組合、或其他合適材料。
接著形成閘極(未圖示)於閘極介電層上。閘極可包含多層,比如功函數層、黏著/阻障層、及/或金屬填充(或基體)層。功函數層可包含導電材料,其可調整以具有所需的功函數(如n型功函數或p型功函數),比如n型功函數材料及/或p型功函數材料。p型功函數材料包括氮化鈦、氮化鉭、釕、鉬、鋁、氮化鎢、鋯矽化物、鉬矽化物、鉭矽化物、鎳矽化物、其他p型功函數材料、或上述之組合。n型功函數材料包括鈦、鋁、銀、錳、鋯、鈦鋁、碳化鈦鋁、碳化鉭、碳氮化鉭、氮化鉭矽、鉭鋁、碳化鉭鋁、氮化鈦鋁、其他n型功函數材料、或上述之組合。黏著/阻障層的材料可促進相鄰層狀物(如功函數層與金屬填充層)之間的黏著性,及/或阻擋及/或減少閘極層(如功函數層與金屬填充層)之間的擴散。舉例來說,黏著/阻障層包含金屬(如鎢、鋁、鉭、鈦、鎳、銅、鈷、其他合適金屬、或上述之組合)、金屬氧化物、金屬氮化物(如氮化鈦)、或上述之組合。金屬填充層可包含合適的導電材料,比如鋁、銅、鎢、釕、鈦、合適金屬、或上述之組合。
如圖1、4、及5所示,方法100的步驟18回蝕刻功能閘極結構與閘極間隔物以形成凹陷。在此例中,進行蝕刻製程以形成凹陷232於工件200上。在一些實施例中,蝕刻製程具有選擇性,其可蝕刻功能閘極結構228與閘極間隔物214,而實質上不蝕刻第一接點蝕刻停止層220。蝕刻製程可包含乾蝕刻、濕蝕刻、上述之組合、或其他合適製程。在圖5所示的實施例中,在凹陷232中進行功能閘極結構228的額外製程,比如形成蓋層234於功能閘極結構228的頂部。由於蓋層234位於功能閘極結構228的上表面上,蓋層234亦可視作閘極頂部蓋層或閘極頂部的蝕刻停止層。蓋層234的組成可為鋁、鎢、鈷、釕、鈦、合適金屬、或上述之組合。
如圖1、6、及7所示,方法100的步驟20形成自對準蓋層236於凹陷中。自對準蓋層236的形成方法可包含沉積介電材料於工件200上,以填入凹陷232。介電材料的沉積方法可採用高密度電漿化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、或合適的沉積製程。介電材料的組成可為氧化矽、氮化矽、矽、碳化矽、或上述之組合。可在沉積製程之後可進行平坦化製程如化學機械研磨製程,以移除多餘的介電材料如自對準蓋層236與第一硬遮罩層226,以露出底部層間介電層224。自對準蓋層236的組成與底部層間介電層224的組成不同。
如圖1、8、及9所示,方法100的步驟22沉積第一層間介電層與第二硬遮罩層於工件200上,並圖案化第一層間介電層與第二硬遮罩層以選擇性露出底部層間介電層。如圖8所示,沉積第一層間介電層238於工件200上。在一些實施例中,第一層間介電層238包括的材料可為四乙氧基矽烷的氧化物、未摻雜的矽酸鹽玻璃、或摻雜的氧化矽如硼磷矽酸鹽玻璃、氟矽酸鹽玻璃、磷矽酸鹽玻璃、硼矽酸鹽玻璃、摻雜氟的氧化矽、摻雜碳的氧化矽、多孔氧化矽、多孔的摻雜碳的氧化矽、碳氮化矽、碳氮氧化矽、旋轉塗佈的矽為主的聚合物介電層、及/或其他合適的介電材料。第一層間介電層238的沉積方法可為化學氣相沉積、可流動的化學氣相沉積、電漿輔助化學氣相沉積、或其他合適製程。第二硬遮罩層240沉積於第一層間介電層238上的方法可為化學氣相沉積、電漿輔助化學氣相沉積、原子層沉積、或其他合適製程。舉例來說,第二硬遮罩層240的材料可包含金屬元素,且可包含氧化鈦、氮化鈦、鎢化合物(如碳化鎢)。如圖9所示,以微影製程圖案化第二硬遮罩層240,以保留第二硬遮罩層240的一部分於工件200上並形成開口242。例示性的微影製程包括旋轉塗佈光阻層(未圖示)、軟烘烤光阻層、對準光罩、曝光、曝光後烘烤、顯影光阻層、沖洗、與乾燥(如硬烘烤)。在圖案化光阻層之後,採用圖案化的光阻層作為蝕刻遮罩並蝕刻第二硬遮罩層240,以形成圖案化的第二硬遮罩層240。
如圖1及10所示,方法100的步驟24使露出的底部層間介電層凹陷,以形成源極/汲極接點開口。採用圖案化的第二硬遮罩層240作為蝕刻遮罩,可對工件200進行一或多道蝕刻製程,使開口242中露出的底部層間介電層224與第一層間介電層238凹陷,以形成源極/汲極接點開口244而露出源極/汲極結構216。在所述例子中,源極/汲極接點開口244露出三個源極/汲極結構216。蝕刻製程可包含第一蝕刻製程,其採用的蝕刻劑可蝕刻第一層間介電層238與底部層間介電層224,且實質上不蝕刻第一接點蝕刻停止層220與自對準蓋層236的組成。蝕刻製程亦可包含第二蝕刻製程,其移除直接位於源極/汲極結構216上的第一接點蝕刻停止層220的底部。在一些實施方式中,可進行一或多道沖洗或清潔製程,以清潔露出的源極/汲極結構216。在形成源極/汲極接點開口244之後,可移除圖案化的第二硬遮罩層240。
如圖1及11所示,方法100的步驟26形成矽化物層248與源極/汲極接點250a、250b、及250c於每一源極/汲極接點開口244中。在一些例子中,矽化物層248可包含鈦矽化物、鈷矽化物、鎳矽化物、鉭矽化物、或鎢矽化物。接著形成源極/汲極接點250a、250b、及250c於矽化物層248上。源極/汲極接點250a、250b、及250c可一起視作源極/汲極接點250。源極/汲極接點250的形成方法可為多個步驟。舉例來說,可沉積阻障層(未圖示)於工件200的上表面上。阻障層可包含金屬或金屬氮化物,比如氮化鈦、氮化鈷、氮化鎳、或氮化鎢。之後可沉積金屬填充層(未圖示)於阻障層上。金屬填充層可包含鎢、釕、鈷、鎳、或銅。接著可進行化學機械研磨製程以移除多餘材料,定義源極/汲極接點250的最終形狀,並提供平坦表面。
如圖1、12、及13所示,方法100的步驟28形成第二接點蝕刻停止層與第二層間介電層於工件上。第二接點蝕刻停止層252的組成可為氮化矽、氧化矽、矽、碳化矽、碳氮化矽、及/或本技術領域已知的其他材料。第二接點蝕刻停止層252的組成與自對準蓋層236的組成不同。具體而言,對蝕刻製程而言,第二接點蝕刻停止層252的蝕刻速率與自對準蓋層236的蝕刻速率不同。蝕刻速率的差異可用於檢測蝕刻終點。第二接點蝕刻停止層252的沉積方法可採用電漿輔助化學氣相沉積、原子層沉積、電漿輔助原子層沉積、及/或其他合適的沉積製程,且可具有沿著Z方向的厚度T。考量到之後形成的閘極接點通孔的開口268 (如圖17所示),厚度T可介於約5 nm至約15 nm之間。第二層間介電層254的組成與形成方法,可與第一層間介電層238的組成與形成方法類似。第二層間介電層254的厚度可介於約40 nm至約80 nm之間。
如圖1、12、及13所示,方法100的步驟30圖案化第二層間介電層254與第二接點蝕刻停止層252以形成金屬結構開口,其露出兩個源極/汲極接點250b及250c。遮罩單元255形成於工件200上。在一些實施例中,遮罩單元255可包含硬遮罩層及/或光阻層。圖案化遮罩單元255使其具有具開口256,其具有沿著X方向的寬度W1。接著進行蝕刻製程以移除開口256中露出的第二接點蝕刻停止層252與第二層間介電層254。如上所述,由於第二接點蝕刻停止層252與自對準蓋層236的蝕刻速率不同,可精準控制蝕刻停止於自對準蓋層236的上表面。蝕刻製程可包含非等向蝕刻製程如非等向乾蝕刻製程。在進行非等向蝕刻製程之後,可形成錐形開口257。因此由Y方向來看,錐形開口257包括兩個反錐形側壁,其沿著錐形開口257的深度傾斜。錐形開口257包括第一錐形部分257a形成於第二層間介電層254中,以及第二錐形部分257b (亦可視作金屬結構開口)形成於第二接點蝕刻停止層252中。藉由提供第二層間介電層254與採用非等向蝕刻製程,金屬結構開口如第二錐形部分257b的寬度(如上表面的寬度W2或下表面的寬度W3)小於開口256的寬度W1。因此不需採用高解析度的微影如極紫外線維影,即可縮小形成於金屬結構開口如第二錐形部分257b中的金屬結構262的尺寸。在一些實施例中,金屬結構開口如第二錐形部分257b的上表面的寬度W2介於約20 nm至約80 nm之間。由Y方向來看,金屬結構開口如第二錐形部分257b露出功能閘極結構228b上的自對準蓋層236、源極/汲極接點250b的至少一部分、與源極/汲極接點250c的至少一部分。在其他實施方式中,比如工件200中的其他裝置區或其他工件,金屬結構開口如第二錐形部分257b可露出其他裝置結構。在其他例示性的實施例中,金屬結構開口如第二錐形部分257b將搭配圖20至24詳細說明。
如圖1及14至16所示,方法100的步驟32形成金屬結構於金屬結構開口中。如圖14所示,順應性沉積阻障層258,使其在工件200的上表面上具有大致一致的厚度,比如在圖案化的第二接點蝕刻停止層252與圖案化的第二層間介電層254的上表面與側壁表面上具有實質上相同的厚度。阻障層258的組成可為鎢、釕、氮化鈦、或氮化鉭。如圖15所示,沉積金屬填充層260於阻障層258上,且沉積方法可採用合適的沉積技術,比如原子層沉積製程、物理氣相沉積製程、或化學氣相沉積製程。金屬填充層260的組成可為鎢、釕、鈷、銅、鉬、或上述之合金。在一實施例中,金屬填充層260與源極/汲極接點250的金屬填充層可由相同材料所組成。一些實施例考量金屬填隙能力與製程整合,而金屬填充層260與源極/汲極接點250的金屬填充層可具有不同組成。在沉積金屬填充層260之後,可進行化學機械研磨製程以移除第二接點蝕刻停止層252上的材料,且可精準控制化學機械研磨製程停止在第二接點蝕刻停止層252的上表面,以定義金屬結構262的最終形狀,如圖16所示。在所述例子中,阻障層258與金屬填充層260的組合可視作金屬結構262。在化學機械研磨製程之後,金屬結構262的上表面與第二接點蝕刻停止層252的上表面共平面。因此金屬結構262的厚度(沿著Z方向)實質上等於第二接點蝕刻停止層252的厚度T。因此金屬結構262的厚度可介於5 nm至約15 nm之間。由於金屬結構262形成於錐形的金屬結構開口如第二錐形部分257b中,其將追隨錐形的金屬結構開口如第二錐形部分257b的形狀並包含錐形側壁。在圖16所示的實施例中,金屬結構262形成於兩個相鄰的源極/汲極接點250b及250c上並與其直接接觸,而源極/汲極接點250b及250c隔有功能閘極結構228b。因此金屬結構262亦形成於功能閘極結構228b上且直接接觸功能閘極結構228b上的自對準蓋層236,而功能閘極結構228b與金屬結構262隔有自對準蓋層236。在其他實施方式中,金屬結構262可設置為耦接兩個或更多個源極/汲極接點(其隔有兩個或更多的閘極結構與對應的相關源極/汲極接點)。舉例來說,金屬結構262可設置為耦接源極/汲極接點250a及250c (其隔有功能閘極結構228a至228b與源極/汲極接點250b)。在一些實施例中,金屬結構262可設置為耦接源極/汲極接點250a、250b、及250c (其彼此隔有功能閘極結構228a及228b)。
如圖1、17、及18所示,方法100的步驟34形成接點通孔以電性連接至金屬結構262。第三層間介電層264形成於第二接點蝕刻停止層252與金屬結構262上。第三層間介電層264的材料與形成方法可與第二層間介電層254的材料與形成方法類似。如圖17所示,開口266a、266b、及266c可分別露出源極/汲極接點250a、金屬結構262、與蓋層234的上表面。開口266可穿過第三層間介電層264以露出金屬結構262的一部分上表面。開口267可穿過第三層間介電層264與第二接點蝕刻停止層252,以露出源極/汲極接點250a的一部分上表面。開口268可穿過第三層間介電層264、第二接點蝕刻停止層252、與自對準蓋層236,以露出功能閘極結構228c上的蓋層234的一部分上表面。可同時或由多個步驟形成開口266、267、及268。如圖18所示,可同時或以多個步驟形成接點通孔272、274、及276 (其可視作接點通孔272至276)以填入開口266、267、及268。在圖18所示的實施例中,每一接點通孔包括阻障層269與金屬填充層270。接點通孔272、274、及276中的阻障層269與金屬填充層270的材料與形成方法,可分別與圖14至16所述之金屬結構262中的阻障層258與金屬填充層260的材料與形成方法類似。接著進行化學機械研磨製程,以移除多餘材料並定義接點通孔272、274、及276的最終形狀。如圖18所示,接點通孔272直接接觸金屬結構262,且具有沿著Z方向的厚度T1。因此接點通孔272經由金屬結構262電性連接至源極/汲極接點250b及250c。接點通孔274直接接觸源極/汲極接點250a,且其厚度T2大於厚度T1。接點通孔276直接接觸功能閘極結構228c上的蓋層234,且其厚度T3大於厚度T2。在一些實施方式中,厚度T1介於約3 nm至約20 nm之間,厚度T2介於約10 nm至約35 nm之間,且厚度T3介於約15 nm至約60 nm之間。
如圖1、19、及20所示,方法100的步驟36進行後續製程。這些後續製程可包含形成後續結構於結構280中,以用於製作在整個半導體的基板202的裝置(比如半導體裝置如工件200)。舉例來說,這些後續製程可包含沉積金屬間介電層、形成金屬線路(如形成金屬線路282於第三層間介電層264上)、形成電源軌、及/或形成其他合適的半導體裝置結構。圖19顯示形成金屬線路282於第三層間介電層264上之後,半導體裝置如工件200的第一裝置區的部分佈局圖。在圖19所示的實施例中,工件200包括第一種類的源極/汲極接點通孔(如接點通孔274)以直接耦接至源極/汲極接點(如源極/汲極接點250a),以及第二種類的源極/汲極接點通孔(如接點通孔272)以經由金屬結構262耦接至源極/汲極接點(如源極/汲極接點250b及250c)。為了在減少工件200中的結構280中的金屬線路數目時維持設計彈性,第二種源極/汲極接點通孔的數目與第一種源極/汲極接點通孔的數目之間的比例可小於1:3。然而本技術領域中具有通常知識者應理解,可依據電路結構與功能調整此比例。圖20係半導體裝置如工件200沿著圖2及/或19中的剖線A-A’的部分剖視圖,其中剖線A-A’切穿功能閘極結構228與金屬結構262。金屬結構262與金屬線路282隔有第三層間介電層264。
本發明的結構與相關方法可提供多種優點。舉例來說,第二接點蝕刻停止層252與埋置其中的金屬結構262,可提供夾層內連線層於中段製程結構(如源極/汲極接點250b及250c)與後段製程結構(如第三層間介電層264中的接點通孔)之間。因此藉由形成金屬結構262於接點通孔272至276以及源極/汲極接點250b及250c之間,可減少第三層間介電層264上的金屬線路。舉例來說,假設第一晶片與第二晶片具有相同數目的電晶體且設計為符合相同功能,第一晶片採用的第一內連線結構具有額外的夾層內連線層,而第二晶片採用的第二內連線結構不包含額外的夾層內連線層,則第一晶片在第三層間介電層264上的金屬線路數目小於第二晶片在第三層間介電層264上的金屬線路數目,因為埋置於第二接點蝕刻停止層252中的金屬結構262可連接一些裝置結構。如此一來,第一晶片中的金屬線路數目N1小於第二晶片中的金屬線路數目N2。若數目N1增加到數目N2而不減少金屬線路的間距,則第一晶片的電晶體密度可增加且大於第二晶片的電晶體密度。綜上所述,提供內連線的其他方法有利於增加電晶體密度,而不會誘發上述可信度的問題(比如圖案化問題及/或間隙填充問題),亦不會限制設計彈性。
此外,隨著積體電路裝置的尺寸縮小,完美對準接點通孔與源極/汲極接點的挑戰越來越大。當接點通孔與源極/汲極接點對不準,會增加接點電阻並造成效能問題。藉由沿著多個裝置結構的金屬結構262 (比如沿著源極/汲極接點250b及250c與自對準蓋層236的金屬結構262),可解決接點通孔與源極/汲極接點對準的相關問題。在圖18至20所示的實施例中,金屬結構262沿著X方向延伸並直接接觸源極/汲極接點250b及250c,且源極/汲極接點250b及250c電性共用接點通孔272。對兩個源極/汲極接點250b及250c而言,只需要一個接點通孔272。因此亦有利於降低接點通孔數目。綜上所述,可有利於簡化製作製程,並有利於降低相關成本。
在圖3至20所示的上述實施例中,金屬結構262沿著X方向延伸並直接接觸兩個平行且相鄰的源極/汲極接點250b及250c (其隔有功能閘極結構228b)。為了符合不同的電路設計需求,金屬結構262可設置為不同形狀,並連接不同相對位置的源極/汲極接點。舉例來說,圖21顯示半導體裝置如工件200的第二裝置區的部分佈局圖。金屬結構262的上視形狀可為字母L。因此金屬結構包括沿著X方向延伸的第一部分262a,與沿著Y方向延伸的第二部分262b。第一部分262a直接接觸源極/汲極接點250b,且第二部分262b直接接觸源極/汲極接點250c。如圖22所示,第一部分262a亦位於自對準蓋層236上,並直接接觸底部層間介電層224的至少一部分。第二部分262b亦可直接接觸底部層間介電層224的至少一部分。因此源極/汲極結構216與金屬結構262隔有底部層間介電層224與第一接點蝕刻停止層220。應理解的是,本技術領域中具有通常知識者可調整金屬結構的上視形狀,以連接不同相對位置的源極/汲極接點。
除了電性耦接兩個或更多個源極/汲極接點,金屬結構262可沿著相同方向延伸如對應的金屬接點。以圖23為例,源極/汲極接點250a經由接點通孔274電性耦接至金屬線路282。舉例來說,若由金屬線路282傳遞的相同電子訊號控制源極/汲極接點250b,且不直接形成分開的金屬線路於源極/汲極接點250b上,而是連接至相同端點以提供相同的電子訊號,則與源極/汲極接點250b沿著相同方向(如圖23所示的Y方向)延伸的金屬結構262可用於伸長源極/汲極接點250b,並有利於源極/汲極接點250b與金屬線路282之間的電性連接。在此實施方式中,金屬結構262的寬度W5小於源極/汲極接點250b的寬度W4,以減少或實質上避免相鄰的閘極接點通孔276與金屬結構262之間的漏電流。舉例來說,寬度W4與寬度W5之間的差異可介於約3 nm至約8 nm之間。圖24顯示工件沿著圖23中的剖線C-C’的部分剖視圖。由Y方向來看,金屬結構262直接接觸底部層間介電層224的至少一部分,且源極/汲極結構216與金屬結構262隔有底部層間介電層224。因此藉由提供金屬結構,有利於減少源極/汲極接點上的金屬線路數目。
本發明實施例提供許多不同實施例。此處揭露半導體結構與其形成方法。例示性的半導體結構包括第一源極/汲極接點;第二源極/汲極接點,與第一源極/汲極接點隔有第一閘極結構;蝕刻停止層,位於第一源極/汲極接點與第二源極/汲極接點上;導電結構,位於蝕刻停止層中並直接接觸第一源極/汲極接點與第二源極/汲極接點;介電層,位於蝕刻停止層上;以及接點通孔,延伸穿過介電層並電性連接至導電結構。
在一些實施例中,導電結構的上表面與蝕刻停止層的上表面共平面。在一些實施例中,半導體結構更包括:自對準蓋層,位於第一閘極結構上,其中導電結構沿著一方向直接位於自對準蓋層的一部分上。
在一些實施例中,導電結構包括沿著上述方向所見的L形。在一些實施例中,半導體結構更包括蓋層位於第一閘極結構與自對準蓋層之間。在一些實施例中,導電結構包括鈷、釕、或鉬。
在一些實施例中,半導體結構可更包括第三源極/汲極接點,與第二源極/汲極接點隔有第二閘極結構;以及另一接點通孔,延伸穿過介電層與蝕刻停止層以接觸第三源極/汲極接點。在一些實施例中,半導體結構可更包括:閘極接點通孔,延伸穿過介電層與蝕刻停止層。閘極接點通孔電性連接至第一閘極結構。
另一例示性的半導體結構包括第一金屬接點,位於第一源極/汲極結構上;第一閘極結構,與第一金屬接點相鄰;蝕刻停止層,位於第一金屬接點與第一閘極結構上;金屬結構,延伸穿過蝕刻停止層並電性連接至第一金屬接點;層間介電層,位於蝕刻停止層上;以及接點通孔,延伸穿過層間介電層以耦接至金屬結構。金屬結構直接位於第一閘極結構的至少一部分上。在一些實施例中,半導體結構可更包括第二金屬接點,位於第二源極/汲極結構上,其中金屬結構直接接觸第二金屬接點。在一些實施例中,金屬結構的上視形狀包括L形。
在一些實施例中,半導體結構可更包括:自對準蓋層,位於第一閘極結構上。第一閘極結構位於第一金屬接點與第二金屬接點之間,且第一閘極結構與金屬結構隔有自對準蓋層。
在一些實施例中,蝕刻停止層的組成與自對準蓋層的組成不同。在一些實施例中,半導體結構更包括底部介電層,位於第三源極/汲極結構上。第一金屬接點延伸穿過底部介電層,且金屬結構的一部分直接位於底部介電層上。
在一些實施例中,半導體結構可更包括閘極接點通孔,延伸穿過層間介電層與蝕刻停止層。閘極接點通孔電性連接至第一閘極結構。在一些實施例中,半導體結構可更包括:第三源極/汲極接點位於第三源極/汲極結構上;以及另一接點通孔,延伸穿過層間介電層與蝕刻停止層,以耦接至第三源極/汲極接點。
半導體結構的形成方法包括形成第一源極/汲極接點於第一源極/汲極結構上,並形成第二源極/汲極接點於第二源極/汲極結構上;沉積蝕刻停止層於第一源極/汲極接點與第二源極/汲極接點上;圖案化蝕刻停止層以形成導電結構開口而露出第一源極/汲極接點與第二源極/汲極接點;形成導電結構於導電結構開口中;形成介電層於導電結構與蝕刻停止層上;以及形成接點通孔以延伸穿過介電層而耦接至導電結構。
在一些實施例中,形成導電結構的步驟包括:沉積導電材料於蝕刻停止層上,以填入導電結構開口;以及進行平坦化製程,以移除多餘的導電材料。進行平坦化製程之後,導電結構的上表面與蝕刻停止層的上表面共平面。
在一些實施例中,圖案化蝕刻停止層的步驟包括:在沉積蝕刻停止層之後,沉積層間介電層於蝕刻停止層上,以及圖案化層間介電層與蝕刻停止層,以露出第一源極/汲極接點與第二源極/汲極接點。
在一些實施例中,上述方法更包括形成閘極結構於第一源極/汲極結構與第二源極/汲極結構之間;以及形成自對準蓋層於閘極結構上。圖案化蝕刻停止層的步驟可更露出自對準蓋層的一部分,且閘極結構與導電結構隔有自對準蓋層。
上述實施例之特徵有利於本技術領域中具有通常知識者理解本發明。本技術領域中具有通常知識者應理解可採用本發明作基礎,設計並變化其他製程與結構以完成上述實施例之相同目的及/或相同優點。本技術領域中具有通常知識者亦應理解,這些等效置換並未脫離本發明精神與範疇,並可在未脫離本發明之精神與範疇的前提下進行改變、替換、或更動。
A-A’,C-C’:剖線 T,T1,T2,T3:厚度 W1,W2,W3,W4,W5:寬度 12,14,16,18,20,22,24,26,28,30,32,34,36:步驟 100:方法 200:工件 202:基板 204:隔離結構 210:鰭狀物 212:虛置閘極結構 214:閘極間隔物 216:源極/汲極結構 220:第一接點蝕刻停止層 224:底部層間介電層 226:第一硬遮罩層 228,228a,228b,228c:功能閘極結構 232:凹陷 234:蓋層 236:自對準蓋層 238:第一層間介電層 240:第二硬遮罩層 242,256,266,266a,266b,266c,267,268:開口 244:源極/汲極接點開口 248:矽化物層 250,250a,250b,250c:源極/汲極接點 252:第二接點蝕刻停止層 254:第二層間介電層 255:遮罩單元 257:錐形開口 257a:第一錐形部分 257b:第二錐形部分 258,269:阻障層 260:金屬填充層 262:金屬結構 262a:第一部分 262b:第二部分 264:第三層間介電層 270:金屬填充層 272,274,276:接點通孔 280:結構 282:金屬線路
圖1係本發明一或多個實施例中,例示性的內連線結構的形成方法的流程圖。 圖2係本發明多種實施例中,對例示性工件進行圖1的方法中的多種階段的三維透視圖。 圖3至18係本發明一或多個實施例中,對工件的第一裝置區進行圖1的方法中的多種階段之沿著圖2所示的剖線A-A’的部分剖視圖。 圖19係本發明多種實施例中,半導體裝置的第一裝置區的部分佈局圖。 圖20係本發明多種實施例中,第一裝置區沿著圖2及19中的剖線A-A’的部分剖視圖。 圖21係本發明多種實施例中,半導體裝置的第二裝置區的部分佈局圖。 圖22係本發明多種實施例中,第二裝置區沿著圖21中的剖線B-B’的部分剖視圖。 圖23係本發明多種實施例中,半導體裝置的第三裝置區的部分佈局圖。 圖24係本發明多種實施例中,第三裝置區沿著圖23中的剖線C-C’的部分剖視圖。
200:工件
202:基板
216:源極/汲極結構
220:第一接點蝕刻停止層
224:底部層間介電層
228a,228b,228c:功能閘極結構
234:蓋層
236:自對準蓋層
248:矽化物層
250a,250b,250c:源極/汲極接點
252:第二接點蝕刻停止層
262:金屬結構
264:第三層間介電層
272,274,276:接點通孔
280:結構
282:金屬線路

Claims (8)

  1. 一種半導體結構,包括:一第一源極/汲極接點;一第二源極/汲極接點,與該第一源極/汲極接點隔有一第一閘極結構;一蝕刻停止層,位於該第一源極/汲極接點與該第二源極/汲極接點上;一導電結構,位於該蝕刻停止層中並直接接觸該第一源極/汲極接點與該第二源極/汲極接點;一介電層,位於該蝕刻停止層上;一接點通孔,延伸穿過該介電層並電性連接至該導電結構;以及一自對準蓋層,位於該第一閘極結構上,其中該導電結構沿著一方向直接位於該自對準蓋層的一部分上。
  2. 如請求項1之半導體結構,其中該導電結構的上表面與該蝕刻停止層的上表面共平面。
  3. 如請求項1之半導體結構,其中該導電結構包括沿著該方向所見的L形。
  4. 一種半導體結構,包括:一第一金屬接點,位於一第一源極/汲極結構上;一第一閘極結構,與該第一金屬接點相鄰;一第一蝕刻停止層,位於該第一金屬接點與該第一閘極結構上;一金屬結構,延伸穿過該蝕刻停止層並電性連接至該第一金屬接點;一層間介電層,位於該蝕刻停止層上;一接點通孔,延伸穿過該層間介電層以耦接至該金屬結構,其中該金屬結構 直接位於該第一閘極結構的至少一部分上;以及一閘極接點通孔,延伸穿過該層間介電層與該蝕刻停止層,其中該閘極接點通孔電性連接至該第一閘極結構。
  5. 如請求項4之半導體結構,更包括:一第二金屬接點,位於一第二源極/汲極結構上,其中該金屬結構直接接觸該第二金屬接點。
  6. 如請求項5之半導體結構,其中該金屬結構的上視形狀包括L形。
  7. 一種半導體結構的形成方法,包括:形成一第一源極/汲極接點於一第一源極/汲極結構上,並形成一第二源極/汲極接點於一第二源極/汲極結構上;沉積一蝕刻停止層於該第一源極/汲極接點與該第二源極/汲極接點上;圖案化該蝕刻停止層以形成一導電結構開口而露出該第一源極/汲極接點與該第二源極/汲極接點;形成一導電結構於該導電結構開口中;形成一介電層於該導電結構與該蝕刻停止層上;以及形成一接點通孔以延伸穿過該介電層而耦接至該導電結構,其中圖案化該蝕刻停止層的步驟包括:在沉積該蝕刻停止層之後,沉積一層間介電層於該蝕刻停止層上,以及圖案化該層間介電層與該蝕刻停止層,以露出該第一源極/汲極接點與該第二源極/汲極接點。
  8. 如請求項7之半導體結構的形成方法,其中形成該導電結構的步驟包括: 沉積一導電材料於該蝕刻停止層上,以填入該導電結構開口;以及進行一平坦化製程,以移除多餘的該導電材料,其中進行該平坦化製程之後,該導電結構的上表面與該蝕刻停止層的上表面共平面。
TW110130079A 2021-01-08 2021-08-16 半導體結構與其形成方法 TWI821725B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US17/144,724 2021-01-08
US17/144,724 US11640936B2 (en) 2021-01-08 2021-01-08 Interconnect structures and methods of fabrication thereof

Publications (2)

Publication Number Publication Date
TW202230530A TW202230530A (zh) 2022-08-01
TWI821725B true TWI821725B (zh) 2023-11-11

Family

ID=81549480

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110130079A TWI821725B (zh) 2021-01-08 2021-08-16 半導體結構與其形成方法

Country Status (3)

Country Link
US (2) US11640936B2 (zh)
CN (1) CN114512444A (zh)
TW (1) TWI821725B (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20220132139A (ko) * 2021-03-23 2022-09-30 삼성전자주식회사 반도체 장치 및 이의 제조 방법

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130193489A1 (en) * 2012-01-30 2013-08-01 Globalfoundries Inc. Integrated circuits including copper local interconnects and methods for the manufacture thereof
TW201731057A (zh) * 2015-11-30 2017-09-01 台灣積體電路製造股份有限公司 積體電路及形成積體電路的方法
US20200144135A1 (en) * 2017-08-30 2020-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect Structure For Fin-Like Field Effect Transistor

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9048233B2 (en) 2010-05-26 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Package systems having interposers
US9064879B2 (en) 2010-10-14 2015-06-23 Taiwan Semiconductor Manufacturing Company, Ltd. Packaging methods and structures using a die attach film
US8797057B2 (en) 2011-02-11 2014-08-05 Taiwan Semiconductor Manufacturing Company, Ltd. Testing of semiconductor chips with microbumps
US9000584B2 (en) 2011-12-28 2015-04-07 Taiwan Semiconductor Manufacturing Company, Ltd. Packaged semiconductor device with a molding compound and a method of forming the same
US9111949B2 (en) 2012-04-09 2015-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Methods and apparatus of wafer level package for heterogeneous integration technology
US9263511B2 (en) 2013-02-11 2016-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Package with metal-insulator-metal capacitor and method of manufacturing the same
US9048222B2 (en) 2013-03-06 2015-06-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating interconnect structure for package-on-package devices
US9368460B2 (en) 2013-03-15 2016-06-14 Taiwan Semiconductor Manufacturing Company, Ltd. Fan-out interconnect structure and method for forming same
US9281254B2 (en) 2014-02-13 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming integrated circuit package
US9496189B2 (en) 2014-06-13 2016-11-15 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor devices and methods of forming same
US10510688B2 (en) * 2015-10-26 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Via rail solution for high power electromigration
US10529860B2 (en) * 2018-05-31 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and method for FinFET device with contact over dielectric gate
US11004794B2 (en) 2018-06-27 2021-05-11 Taiwan Semiconductor Manufacturing Co., Ltd. Partial barrier free vias for cobalt-based interconnects and methods of fabrication thereof
US10840189B2 (en) * 2018-07-30 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit devices having raised via contacts and methods of fabricating the same
US11637186B2 (en) 2018-11-20 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor having gate contact and source/drain contact separated by a gap
US11107896B2 (en) * 2018-11-29 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Vertical interconnect features and methods of forming
US11171052B2 (en) * 2019-04-29 2021-11-09 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of forming interconnect structures with selectively deposited pillars and structures formed thereby
US11373947B2 (en) * 2020-02-26 2022-06-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming interconnect structures of semiconductor device
US11631612B2 (en) * 2020-05-26 2023-04-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11715687B2 (en) * 2020-05-28 2023-08-01 Taiwan Semiconductor Manufacturing Company Limited Contact structures for reducing electrical shorts and methods of forming the same

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20130193489A1 (en) * 2012-01-30 2013-08-01 Globalfoundries Inc. Integrated circuits including copper local interconnects and methods for the manufacture thereof
TW201731057A (zh) * 2015-11-30 2017-09-01 台灣積體電路製造股份有限公司 積體電路及形成積體電路的方法
US20200144135A1 (en) * 2017-08-30 2020-05-07 Taiwan Semiconductor Manufacturing Co., Ltd. Interconnect Structure For Fin-Like Field Effect Transistor

Also Published As

Publication number Publication date
US20220223517A1 (en) 2022-07-14
US20230260900A1 (en) 2023-08-17
CN114512444A (zh) 2022-05-17
US11640936B2 (en) 2023-05-02
TW202230530A (zh) 2022-08-01

Similar Documents

Publication Publication Date Title
US11569124B2 (en) Interconnect structure having an etch stop layer over conductive lines
TWI820215B (zh) 半導體結構與其製作方法
KR101788403B1 (ko) 게이트 스페이서 보호층을 갖는 반도체 디바이스를 위한 방법 및 구조체
US20180337113A1 (en) Semiconductor Device with Multi Level Interconnects and Method of Forming the Same
CN111129148A (zh) 半导体装置的形成方法
TWI686880B (zh) 半導體裝置和其製造方法
US11437286B2 (en) Middle of line structures
TW202114117A (zh) 半導體結構
US20140048884A1 (en) Disposable carbon-based template layer for formation of borderless contact structures
WO2019067713A1 (en) USE OF SELECTIVE ALUMINUM OXIDE ENGRAVING
TW202117856A (zh) 半導體裝置的形成方法
US20230307365A1 (en) Backside signal interconnection
CN110993602A (zh) 在栅极与源极/漏极接触之间具有绝缘层的finfet
TW202310285A (zh) 半導體裝置
TWI821725B (zh) 半導體結構與其形成方法
TW202013599A (zh) 在垂直式finfet的主動區形成閘極接觸的方法以及藉此而形成的結構
TWI768670B (zh) 互連結構及其製造方法
US9570449B2 (en) Metal strap for DRAM/FinFET combination
CN111863723B (zh) 半导体结构及其形成方法
TW202147428A (zh) 半導體結構的形成方法
CN113725276A (zh) 半导体装置
TWI799185B (zh) 半導體結構與其形成方法
US20240120369A1 (en) High density trench capacitor
TW201727896A (zh) 半導體裝置結構
TW202310405A (zh) 半導體裝置結構