CN113725276A - 半导体装置 - Google Patents

半导体装置 Download PDF

Info

Publication number
CN113725276A
CN113725276A CN202110377063.9A CN202110377063A CN113725276A CN 113725276 A CN113725276 A CN 113725276A CN 202110377063 A CN202110377063 A CN 202110377063A CN 113725276 A CN113725276 A CN 113725276A
Authority
CN
China
Prior art keywords
layer
source
spacer layer
drain
dummy
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Pending
Application number
CN202110377063.9A
Other languages
English (en)
Inventor
张家豪
黄麟淯
王圣璁
庄正吉
林佑明
王志豪
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN113725276A publication Critical patent/CN113725276A/zh
Pending legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/7682Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing the dielectric comprising air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823468MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate sidewall spacers, e.g. double spacers, particular spacer material or shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41775Source or drain electrodes for field effect devices characterised by the proximity or the relative position of the source or drain electrode and the gate electrode, e.g. the source or drain electrode separated from the gate electrode by side-walls or spreading around or above the gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6653Unipolar field-effect transistors with an insulated gate, i.e. MISFET using the removal of at least part of spacer, e.g. disposable spacer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7831Field effect transistors with field effect produced by an insulated gate with multiple gate structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Thin Film Transistor (AREA)

Abstract

本发明实施例提供一种半导体装置,半导体装置包括有源区、栅极结构、源极/漏极接点、介电结构和气隙,有源区包括通道区以及与通道区相邻的源极/漏极区。栅极结构位于有源区的通道区上。源极/漏极接点位于源极/漏极区上。介电结构位于栅极结构上,且介电结构包括与栅极结构相邻的下侧部分以及远离栅极结构的上侧部分。气隙位于栅极结构与源极/漏极接点之间。介电结构的上侧部分沿着第一方向的第一宽度,大于介电结构的下侧部分沿着第一方向的第二宽度。气隙位于介电结构的上侧部分之下。

Description

半导体装置
技术领域
本发明实施例涉及半导体装置与其制作方法,更特别涉及形成气隙于源极/漏极接点与相邻的栅极结构之间的方法。
背景技术
半导体产业已经历快速成长。半导体材料与设计的技术进展,使每一代的集成电路比前一代具有更小且更复杂的电路。在集成电路演进中,功能密度(比如单位芯片面积的内连线装置数目)通常随着几何尺寸(比如采用的制作工艺所能产生的最小构件或线路)缩小而增加。尺寸缩小的工艺通常有利于增加产能并降低相关成本。然而这些进展亦增加处理与制造半导体装置的复杂度。
举例来说,随着集成电路技术朝更小的技术节点进展,已导入多栅极装置以增加栅极-通道耦合、减少关闭状态电流、并减少短通道效应以改善栅极控制。多栅极装置通常指的是栅极结构或其部分位于通道区的多侧上的装置。鳍状场效晶体管与全绕式栅极晶体管(亦视作非平面晶体管)为多栅极装置的例子,其越来越普及且为高效能及低漏电流应用的有力候选。鳍状场效晶体管具有隆起的通道区,而栅极包覆通道区的多侧。举例来说,栅极包覆自基板延伸的半导体材料的鳍状物的顶部与侧壁。全绕式栅极晶体管的栅极结构可部分或完全延伸于通道区周围,以接触通道区的两侧或多侧。可自纳米线、纳米片、其他纳米结构、及/或其他合适结构形成全绕式栅极晶体管的通道区。
随着多栅极装置的栅极结构与源极/漏极接点之间的介电层越来越薄,栅极结构与源极/漏极接点之间的寄生电容会影响装置效能。已提出多种措施减少寄生电容。一些措施会劣化栅极结构周围的介电结构,造成工艺容许范围减少。虽然现有的多栅极装置与方法通常适用于预期目的,但无法符合所有方面的需求。
发明内容
在一实施例中,提供半导体装置。半导体装置包括有源区,包括通道区以及与通道区相邻的源极/漏极区;栅极结构,位于有源区的通道区上;源极/漏极接点,位于源极/漏极区上;介电结构,位于栅极结构上,且介电结构包括与栅极结构相邻的下侧部分,以及远离栅极结构的上侧部分;以及气隙,位于栅极结构与源极/漏极接点之间。介电结构的上侧部分沿着第一方向的第一宽度,大于介电结构的下侧部分沿着第一方向的第二宽度。气隙位于介电结构的上侧部分之下。
在另一实施例中,提供半导体装置。半导体装置包括栅极结构;第一栅极间隔物层,沿着栅极结构的侧壁延伸;源极/漏极结构,与栅极结构相邻;密封层,位于源极/漏极结构上;介电结构,位于栅极结构上,且介电结构包括与栅极结构相邻的下侧部分以及远离栅极结构的上侧部分;以及气隙,位于介电结构的上侧部分之下。介电结构的上侧部分沿着第一方向的第一宽度,大于介电结构的下侧部分沿着第一方向的第二宽度。气隙沿着第一方向位于密封层与第一栅极间隔物层之间。
在又一实施例中,提供半导体装置的形成方法。方法包括接收工件,且工件包括有源区,有源区包括通道区以及与通道区相邻的源极/漏极结构;形成虚置栅极堆叠于通道区上;形成第一虚置间隔物层于虚置栅极堆叠与源极/漏极结构上;形成第二虚置间隔物层于第一虚置间隔物层与源极/漏极结构上;使第二虚置间隔物层凹陷以露出第一虚置间隔物层的顶面表面,而第二虚置间隔物层维持覆盖第一虚置间隔物层的侧壁;沉积蚀刻停止层于第一虚置间隔物层与第二虚置间隔物层上;沉积层间介电层于蚀刻停止层上;将虚置栅极堆叠置换成栅极结构;使栅极结构、第一虚置间隔物层、第二虚置间隔物层、与蚀刻停止层凹陷,以形成自对准接点开口;形成介电结构于自对准接点开口中;形成接点结构延伸穿过蚀刻停止层、层间介电层、与第一虚置间隔物层,以接触源极/漏极结构;使层间介电层与蚀刻停止层选择性凹陷,而实质上不蚀刻介电结构与接点结构,以形成与接点结构相邻的开口并露出第二虚置间隔物层的一部分;以及选择性移除第二虚置间隔物层以形成气隙。
附图说明
图1是本发明多种实施例中,制作半导体装置的方法的流程图。
图2A、2B、3A、3B、4A、4B、5A、5B、6A、6B、7A、7B、8A、8B、9A、9B、10A、10B、11A、11B、12A、12B、13A、13B、及14至17是本发明多种实施例中,图1的工件的部分剖视图与上视图。
图18是本发明多种实施例中,制作半导体装置的另一方法的流程图。
图19A、19B、20A、20B、21A、21B、22A、22B、23A、23B、24A、24B、25A、25B、26A、26B、27A、27B、28A、28B、29A、29B、30A、30B、及31至34是本发明多种实施例中,图18的工件的部分剖视图与上视图。
图35至37是本发明实施例中,形成源极/漏极接点通孔之后的半导体装置的部分剖视图与上视图。
附图标记说明如下:
H1:第一高度
H2:第二高度
H3:第三高度
H4:第四高度
H5:第五高度
I-I’,II-II’:剖面
W1:第一宽度
W2:第二宽度
10:通道区
20:源极/漏极区
100,300:方法
102,104,106,108,110,112,114,116,118,120,122,124,126,128,302,304,306,308,310,312,314,316,318,320,322,324,326,328:步骤
200:工件
202:基板
204:有源区
206:虚置栅极堆叠
208:虚置栅极
210:硬遮罩
212:第一间隔物层
212’:薄化的第一间隔物层
214:第二间隔物层
216:源极/漏极结构
218:第一虚置间隔物层
219:第三虚置间隔物层
220:第二虚置间隔物层
222:第一蚀刻停止层
224:第一层间介电层
224’:凹陷的第一层间介电层
226:第一自对准接点结构
226L:下侧部分
226U:上侧部分
228:栅极介电层
230:栅极
232:栅极结构
234:硅化物结构
236:源极/漏极接点
237:开口
238,239:气隙
240:密封层
241:下陷
242:衬垫层
244:孔洞
246:第二蚀刻停止层
248:第二层间停止层
250:源极/漏极接点通孔
250-1:第一源极/漏极接点通孔
250-2:第二源极/漏极接点通孔
250-3:第三源极/漏极接点通孔
252:第二自对准接点结构
具体实施方式
下述详细描述可搭配附图说明,以利理解本发明的各方面。值得注意的是,各种结构仅用于说明目的而未按比例绘制,如本业常态。实际上为了清楚说明,可任意增加或减少各种结构的尺寸。
下述内容提供的不同实施例或例子可实施本发明实施例的不同结构。特定构件与排列的实施例是用以简化本揭露而非局限本发明。举例来说,形成第一构件于第二构件上的叙述包含两者直接接触,或两者之间隔有其他额外构件而非直接接触。
此外,本发明的多种实例可重复采用相同标号以求简洁,但多种实施例及/或设置中具有相同标号的元件并不必然具有相同的对应关系。此外,本发明实施例的结构形成于另一结构上、连接至另一结构、及/或耦接至另一结构中,结构可直接接触另一结构,或可形成额外结构于结构及另一结构之间(即结构未接触另一结构)。此外,空间性的相对用语如“下方”、“其下”、“下侧”、“上方”、“上侧”、或类似用语可用于简化说明某一元件与另一元件在图示中的相对关系。空间性的相对用语可延伸至以其他方向使用之元件,而非局限于图示方向。设备亦可转动90°或其他角度,因此方向性用语仅用以说明图示中的方向。此外,当数值或数值范围的描述有“约”、“近似”、或类似用语时,除非特别说明否则其包含所述数值的+/-10%。举例来说,用语“约5nm”包含的尺寸范围为4.5nm至5.5nm。
本发明实施例一般关于半导体装置与其制作方法,更特别关于形成气隙于源极/漏极接点与相邻的栅极结构之间的方法。随着多栅极技术朝更小的技术节点进展,减少有源区间距会明显限制栅极结构与相邻的源极/漏极接点之间可用的材料。为了降低或最小化寄生电容,可将较低介电常数的绝缘或介电材料(如低介电常数介电层及/或气体)整合至半导体装置中的多种导电结构之间,比如形成气隙。在一些例子中,可侵入栅极结构与栅极间隔物层上的自对准接点介电结构或盖层,以形成低介电常数的介电结构或气隙。然而侵入自对准接点介电结构或盖层时,形成源极/漏极接点开口所用的蚀刻工艺时对栅极结构与栅极间隔物层的保护会变小。
本发明实施例提供形成气隙于栅极结构与源极/漏极接点之间的方法,而不形成垂直开口穿过栅极结构与栅极间隔物层上的自对准接点介电结构或盖层。在本发明一例的工艺中,第一虚置间隔物层与第二虚置间隔物层可形成于虚置栅极堆叠与源极/漏极结构上。在回蚀刻第二虚置间隔物层的顶面部分之后,可沉积蚀刻停止层与层间介电层于第一虚置间隔物层与第二虚置间隔物层上。移除虚置栅极堆叠并置换成功能栅极结构。接着形成自对准接点介电结构或盖层于栅极结构、第一虚置间隔物层、与第二虚置间隔物层上。源极/漏极接点延伸穿过源极/漏极结构上的蚀刻停止层与层间介电层。采用源极/漏极接点与自对准接点介电结构作为蚀刻遮罩,并使与源极/漏极接点的末端相邻的层间介电层的一部分凹陷以露出蚀刻停止层的侧壁。接着形成横向开口穿过蚀刻停止层的露出侧壁,以露出第二虚置层。接着横向移除自对准接点介电结构之下的第二虚置层,以形成气隙。接着沉积密封层以密封气隙。由于密封层的组成材料与之后沉积于自对准接点介电结构(或盖层)上的层间介电层的组成材料不同,可选择性移除后续的层间介电层以形成槽状的源极/漏极接点通孔。藉由横向移除第二虚置间隔物层以形成气隙,自对准接点介电层(或盖层)维持位于蚀刻停止层与第一虚置间隔物层上,可提供保护以免于对不准的源极/漏极接点通孔影响。
本发明多种实施例将搭配附图详细说明。图1显示形成半导体装置于工件200上的方法100的流程图,其未图示于图1但图示于图2A至17。图18显示形成半导体装置于工件200上的方法300的流程图,其未图示于图18但图示于图19A至34。方法100与300仅用于举例而非局限本发明实施例至权利要求未实际记载处。在方法100或方法300之前、之中、或之后可提供额外步骤,且方法的额外实施例可置换、省略、或调换一些所述步骤。方法100将搭配图2A、2B、3A、3B、4A、4B、5A、5B、6A、6B、7A、7B、8A、8B、9A、9B、10A、10B、11A、11B、12A、12B、13A、13B、及14至17说明如下,且这些附图各自显示工件200在方法100的多种步骤时的部分剖视图或上视图。方法300将搭配图19A、19B、20A、20B、21A、21B、22A、22B、23A、23B、24A、24B、25A、25B、26A、26B、27A、27B、28A、28B、29A、29B、30A、30B、及31至34说明如下,且这些附图各自显示工件200在方法300的多种步骤时的部分剖视图或上视图。工件200可为处理集成电路或其部分时制作的中间装置,其可包含静态随机存取存储器及/或其他逻辑电路、被动构件(如电阻、电容器、或电感)、与有源构件(如p型场效晶体管、n型场效晶体管、鳍状场效晶体管、金属氧化物半导体场效晶体管、互补式金属氧化物半导体晶体管、双极性晶体管、高电压晶体管、高频晶体管、及/或其他存储器单元)。本发明实施例不限于任何特定数目的装置或装置区,或任何特定的装置设置。举例来说,虽然图示的工件200包含三维鳍状场效晶体管装置,本发明的实施例亦可制作全绕式栅极装置。可添加额外结构到制作于工件200上的半导体装置中,且制作于工件200上的半导体装置的其他实施例可置换、调整、或省略一些下述结构。由于本发明所述的工艺结果是由工件200形成半导体装置,工件200亦可随内容需求而视为半导体装置。
为了方便说明本发明多种实施例,图2至13各自包含末尾为A的附图与末尾为B的附图。末尾为A的附图如图2A至13A系工件200沿着剖面I-I’的部分剖视图,其沿着穿过有源区204的X方向延伸。末尾为B的附图如图2B至13B是工件200沿着剖面II-II’的部分剖视图,其沿着X方向延伸但不穿过有源区204。共用相同号码但末尾不同的附图,可一起视作特定号码的附图。举例来说,图9A及9B可一起视作图9。
如图1、2A、及2B所示,方法100的步骤102接收工件200。工件200包括虚置栅极堆叠206于有源区204的通道区10上、第一间隔物层212位于虚置栅极堆叠206上、第二间隔物层214位于第一间隔物层212上、与源极/漏极结构216位于有源区204的源极/漏极区20上。工件200包含有源区204(或鳍状区)连接至基板202并自基板202隆起。有源区204可包含多栅极装置的一或多个通道区。举例来说,有源区204可为鳍状场效晶体管的鳍状结构,或全绕式栅极晶体管的交错外延层的堆叠。有源区204包括通道区10,以及与通道区10相邻的源极/漏极区20。通道区10可位于两个源极/漏极区20之间。工件200包括虚置栅极堆叠206,其具有虚置栅极208与硬遮罩210。第一栅极间隔物如第一间隔物层212位于虚置栅极堆叠206的侧壁上,而第二栅极间隔物如第二间隔物层214位于第一栅极间隔物如第一间隔物层212的侧壁上。虽然图2A未图示,虚置栅极堆叠206亦可包含虚置栅极介电层位于虚置栅极208与有源区204的通道区10之间。
有源区204形成于基板202上。基板202可包含半导体元素(单一元素)如硅、锗、及/或其他合适材料;半导体化合物如碳化硅、砷化镓、磷化镓、磷化铟、砷化铟、锑化铟、及/或其他合适材料;半导体合金如硅锗、磷砷化镓、砷化铝铟、砷化铝镓、砷化镓铟、磷化镓铟、磷砷化镓铟、及/或其他合适材料。基板202可为组成一致的单层材料。在其他实施例中,基板202可包含多个材料层,其具有类似或不同的组成以适用于制造集成电路装置。在一例中,基板202可为绝缘层上硅基板,其具有硅层形成于氧化硅层上。在另一例中,基板202可包含导电层、半导体层、介电层、其他层、或上述的组合。在一些实施例中,基板202包含场效晶体管,而多种掺杂区如源极/漏极区位于基板202之中或之上。掺杂区可掺杂n型掺质如磷或砷,及/或p型掺质如硼或二氟化硼,端视设计需求而定。掺杂区可直接形成于基板202上、形成于p型井结构中、形成于n型井结构中、或采用隆起结构。掺杂区的形成方法可为注入掺质原子、原位掺杂的外延成长、及/或其他合适技术。
有源区204的制作方法可采用合适工艺,包括光学光刻与蚀刻工艺。光学光刻工艺可包含形成光致抗蚀剂层于基板202上、曝光光致抗蚀剂至一图案、进行曝光后烘烤工艺、并显影光致抗蚀剂以形成含光致抗蚀剂的遮罩单元(未图示)。接着采用遮罩单元并蚀刻凹陷至基板202中,以保留有源区204于基板202上。蚀刻工艺可包含干蚀刻、湿蚀刻、反应性离子蚀刻、及/或其他合适工艺。多种其他实施例中形成有源区204的方法亦适用。举例来说,图案化有源区204的方法可采用双重图案化或多重图案化工艺。一般而言,双重图案化或多重图案化工艺结合光学光刻与自对准工艺,其产生的图案间距小于采用单一的直接光学光刻工艺所得的图案间距。举例来说,一实施例形成牺牲层于基板上,并采用光学光刻工艺图案化牺牲层。采用自对准工艺沿着图案化的牺牲层侧部形成间隔物。接着移除牺牲层,之后以保留的间隔物或芯图案化有源区204。
虽然图2A未图示,相邻的有源区204可隔有隔离结构,其可包含氧化硅、氮化硅、氮氧化硅、氟硅酸盐玻璃、低介电常数的介电材料、及/或其他合适材料。隔离结构可包含浅沟槽隔离结构。在一实施例中,隔离结构的形成方法可为在形成鳍状结构如有源区204时,蚀刻沟槽于基板202中,接着可沉积上述的隔离材料以填入沟槽,再进行化学机械研磨工艺。亦可实施其他隔离结构如场氧化物、局部氧化硅、及/或其他合适结构以作为隔离结构。在其他实施例中,隔离结构可包含多层结构,比如具有一或多个热氧化物衬垫层。隔离结构的沉积方法可为任何合适方法,比如化学气相沉积、可流动的化学气相沉积、旋转涂布玻璃、其他合适方法、或上述的组合。
在一些实施例中,虚置栅极208的组成可为多晶硅。硬遮罩210可为单层或多层,其组成可为氧化硅、氮化硅、氮氧化硅、或上述的组合。第一间隔物层212与第二间隔物层214的组成可各自为介电材料如氮化硅、铪硅化物、氮氧化铝、氧化铪、氧化镧、氧化铝、氮化锆、碳化硅、氧化锌、碳氮氧化硅、硅、氧化钇、碳氮化钽、锆硅化物、碳氮化硅、氧化锆铝、氧化钛、氧化钽、或氧化锆。在一些实施方式中,第一间隔物层212与第二间隔物层214的组成可为不同的介电材料。如图2A所示的一些实施例,虚置栅极堆叠206与第一间隔物层212位于有源区204的通道区10上。虚置栅极堆叠206不只位于有源区204的通道区10的上表面上,亦沿着有源区204的侧壁延伸。如图2B所示,有源区204之外的第一间隔物层212与第二间隔物层214沿着虚置栅极堆叠206的侧壁。
源极/漏极结构216的形成方法可为任何合适技术,比如在源极/漏极区中进行蚀刻工艺之后进行一或多道外延工艺。在一例中,采用虚置栅极堆叠206、第一间隔物层212、与第二间隔物层214作为蚀刻遮罩,并进行一或多道蚀刻工艺以移除源极/漏极区20中的有源区204的部分而形成凹陷(未图示)于其中。可进行清洁工艺,比如以氢氟酸溶液或其他合适溶液清洁凹陷。之后可进行一或多道外延成长工艺,以成长外延结构于凹陷中。每一源极/漏极结构可适用于p型金属氧化物半导体装置(含p型外延材料),或改用于n型金属氧化物半导体装置(含n型外延材料)。p型外延材料可包含一或多个硅锗的外延层,而硅锗可掺杂p型掺质如硼、锗、铟、及/或其他p型掺质。n型外延材料可包含一或多个硅或碳化硅的外延层,而硅或碳化硅可掺杂n型掺质如砷、磷、及/或其他n型掺质。在一些实施方式中,每一外延成长工艺可包含合适掺质的不同原位掺杂等级。形成源极/漏极结构216的外延成长工艺可包含气相外延、超高真空化学气相沉积、循环沉积与蚀刻工艺、分子束外延、及/或其他合适工艺。如图2A所示,源极/漏极结构216接触有源区204的通道区10。在图2B中,有源区204之外的区域中的源极/漏极结构216接触第二间隔物层214。
如图1、3A、及3B所示,方法100的步骤104移除虚置栅极堆叠206的侧壁上的第一间隔物层212与第二间隔物层214。步骤104为了制造第一虚置间隔物层218与第二虚置间隔物层220所用的空间(形成于之后的步骤106),实质上移除高于源极/漏极结构216的第一间隔物层212与第二间隔物层214。步骤104可进行合适的干蚀刻工艺或合适的湿蚀刻工艺以移除第一间隔物层212与第二间隔物层214,且上述蚀刻工艺对第一间隔物层212与第二间隔物层214具有选择性。
如图1、4A、及4B所示,方法100的步骤106沉积第一虚置间隔物层218与第二虚置间隔物层220于虚置栅极堆叠206上。在一些实施例中,第一虚置间隔物层218与第二虚置间隔物层220的每一者的组成可为氮化硅、铪硅化物、氮氧化铝、氧化铪、氧化镧、氧化铝、氮化锆、碳化硅、氧化锌、碳氮氧化硅、硅、氧化钇、碳氮化钽、锆硅化物、碳氮化硅、氧化锆铝、氧化钛、氧化钽、或氧化锆。如图4A所示,第一虚置间隔物层218可沿着有源区204位于源极/漏极结构216、有源区204的通道区10的一部分、虚置栅极堆叠206的侧壁、与硬遮罩210的上表面上。如图4B所示,有源区204之外的第一虚置间隔物层218可位于源极/漏极结构216、第一间隔物层212与第二间隔物层214的上表面、虚置栅极堆叠206的侧壁、与硬遮罩210的上表面上。如图4A及4B所示,第二虚置间隔物层220位于第一虚置间隔物层218上。值得注意的是,虽然第一虚置间隔物层218与第二虚置间隔物层220的组成材料选择与前述的介电材料类似,第一虚置间隔物层218与第二虚置间隔物层220具有不同组成。第一虚置间隔物层218与第二虚置间隔物层220的组成不同,可选择性蚀刻第二虚置间隔物层220而实质上不蚀刻第一虚置间隔物层218。由图4A及4B所示的上视图可知,第二虚置间隔物层220可毯覆性地沉积于工件200上。在一些实施例中,第一虚置间隔物层218的厚度可介于约1nm至约10nm,而第二虚置间隔物层220的厚度可介于约1nm至约10nm。
如图1、5A、及5B所示,方法100的步骤108移除第二虚置间隔物层220的顶面部分。在步骤108中,可进行选择性与非等向的蚀刻工艺以自工件200的顶面表面移除第二虚置间隔物层220。如图5A及5B所示,步骤108的选择性蚀刻可沿着第一虚置间隔物层218的侧壁保留第二虚置间隔物层220的垂直部分,且第一虚置间隔物层218沿着虚置栅极堆叠206的侧壁。步骤108的蚀刻工艺亦可减少工件200的顶面表面上的第一虚置间隔物层218的厚度。
如图1、6A、及6B所示,方法100的步骤110沉积第一蚀刻停止层222与第一层间介电层224于工件200上。第一蚀刻停止层222与第一层间介电层224毯覆性地沉积于工件200上,包括沉积于源极/漏极结构216上的第一虚置间隔物层218上与保留的第二虚置间隔物层220的侧壁上。在一些实施例中,第一蚀刻停止层222可包含半导体氮化物,比如氮化硅。在一些实施方式中,第一层间介电层224可为介电材料如四乙氧基硅烷的氧化物、未掺杂的硅酸盐玻璃、掺杂氧化硅(如硼磷硅酸盐玻璃、氟硅酸盐玻璃、磷硅酸盐玻璃、或硼硅酸盐玻璃)、其他合适的介电材料、或上述的组合。在所述实施例中,第一层间介电层224包括含氧化物的介电材料。第一层间介电层224可包含多层结构或单层结构,且其形成方法可为沉积工艺如化学气相沉积、可流动的化学气相沉积、旋转涂布玻璃、其他合适方法、或上述的组合。
如图1、6A、及6B所示,方法100的步骤112平坦化工件200以露出虚置栅极堆叠206。步骤112可对工件200进行平坦化工艺如化学机械研磨,以移除虚置栅极208上的多余第一蚀刻停止层222、多余第一层间介电层224、与硬遮罩210。如图6A及6B所示,步骤110造成工件200包括平坦上表面,比如第一层间介电层224、第一蚀刻停止层222、第一虚置间隔物层218、第二虚置间隔物层220、与虚置栅极208的上表面共平面。
如图1、7A、及7B所示,方法100的步骤114将虚置栅极堆叠206置换成栅极结构232。在一些实施例中,虚置栅极堆叠206作为功能的栅极结构232所用的占位物,且步骤114可选择性蚀刻移除虚置栅极堆叠206。在虚置栅极208的组成为多晶硅的例子中,可采用对虚置栅极208具有选择性的蚀刻工艺,以移除虚置栅极208而露出有源区204的通道区10。在一些实施例中,栅极结构232包括栅极介电层228与栅极230。栅极介电层228可包含界面层于有源区204的通道区10上,以及一或多个高介电常数(比如介电常数大于氧化硅的介电常数如约3.9)的介电层于界面层上。在一些实施方式中,界面层可包含氧化硅,而高介电常数的介电层可包含氧化铪、氧化锆、氧化铝、氧化铪-氧化铝合金、氧化铪硅、氮氧化铪硅、氧化铪钽、氧化铪钛、氧化铪锆、类似物、或上述的组合。界面层可增进高介电常数的介电层对有源区204的通道区10的黏着性。栅极230可包含至少一功函数金属层与位于其上的金属填充层。依据半导体装置如工件200的导电形态,功函数金属层可为p型或n型的功函数金属层。例示性的功函数材料包含氮化钛、氮化钽、钌、钼、铝、氮化钨、锆硅化物、钼硅化物、钽硅化物、镍硅化物、钛、银、钽铝、碳化钽铝、氮化钛铝、碳化钽、碳氮化钽、氮化钽硅、锰、锆、其他合适功函数材料、或上述的组合。金属填充层可包含铜、钨、铝、钴、其他合适材料、或上述的组合,且其沉积方法可采用物理气相沉积、化学气相沉积、原子层沉积、或其他合适工艺。在一些实施例中,栅极结构232可更包含衬垫层、阻挡层、其他合适层、或上述的组合。
如图1、8A、及8B所示,方法100的步骤116形成第一自对准接点结构226于栅极结构232上。在一些实施例中,选择性蚀刻第一蚀刻停止层222、第二虚置间隔物层220、第一虚置间隔物层218、与栅极结构232,以形成自对准接点开口(未图示于图7A及7B)。在一些实施方式中,选择性蚀刻功能的栅极结构232的速率较快,造成沿着Y方向的视角的T形自对准接点开口。接着沉积介电材料至T形的自对准接点开口中,以形成第一自对准接点结构226,其于沿着Y方向的视角亦为T形。在一些实施例中,介电层可包含氮化硅、铪硅化物、钽氧化铝、氧化铪、氧化镧、氧化铝、氮化锆、碳化硅、氧化锌、碳氮氧化硅、硅、氧化钇、碳氮化钽、锆硅化物、碳氮化硅、氧化锆铝、氧化钛、氧化钽、或氧化锆。在一些例子中,T形的第一自对准接点结构226可包含与栅极结构232相邻的下侧部分226L,以及下侧部分226L上的上侧部分226U。在图8A及8B所示的一些实施方式中,上侧部分226U位于下侧部分226L以及第一蚀刻停止层222、第二虚置间隔物层220、与第一虚置间隔物层218的上表面上。第一自对准接点结构226的下侧部分226L位于第一虚置间隔物层218之间。在一些例子中,上侧部分226U沿着Z方向的厚度可介于约1nm至约30nm之间,而下侧部分226L沿着Z方向的厚度可介于约1nm至约30nm之间。第一自对准接点结构226亦可视作盖层。
如图1、8A、及8B所示,方法100的步骤118形成源极/漏极接点236于源极/漏极结构216上。虽然未图示,源极/漏极接点开口形成于源极/漏极结构216的一部分上,以露出源极/漏极结构216的这些部分。接着沉积金属材料于源极/漏极结构216上,并退火工件200以产生金属材料与源极/漏极结构216之间的硅化反应,而形成硅化物结构234于源极/漏极结构216上。在一些例子中,金属材料可包含钛、镍、钴、钽、或钨,而硅化物结构234可包含钛硅化物、镍硅化物、钴硅化物、钽硅化物、或钨硅化物。硅化物结构234可降低接点电阻。在形成源极/漏极接点开口之后,可沉积源极/漏极接点236于源极/漏极接点开口中。每一源极/漏极接点236的组成可为金属如铜、钨、铝、钴、钌、镍、其他合适材料、或上述的组合,且其沉积方法可采用物理气相沉积、化学气相沉积、原子层沉积、或其他合适工艺。在沉积源极/漏极接点236之后,可平坦化工件200以移除第一层间介电层224上的源极/漏极接点236的多余金属,使源极/漏极接点236与第一层间介电层224的上表面共平面。
如图1、9A、及9B所示,方法100的步骤120使第一层间介电层224凹陷以露出第一蚀刻停止层222的一部分。在一些实施例中,步骤120进行选择性蚀刻工艺使第一层间介电层224部分凹陷。在这些实施例中,可采用第一自对准接点结构226与源极/漏极接点236作为蚀刻遮罩并选择性蚀刻第一层间介电层224,以形成凹陷的第一层间介电层224’。在图9A及9B所示的一些实施方式中,使第一层间介电层224凹陷以露出第一蚀刻停止层222的侧壁的一部分。在一些例子中,由于第一蚀刻停止层222与第一层间介电层224的组成材料不同,步骤120可选择性地使第一层间介电层224凹陷,而实质上不损伤第一蚀刻停止层222。在一些实施例中,第一蚀刻停止层222的厚度可介于约1nm至约10nm之间。
如图1、10A、及10B所示,方法100的步骤122蚀刻第一蚀刻停止层222的露出部分以形成横向开口237而露出第二虚置间隔物层220。在一些实施例中,可选择步骤122的蚀刻工艺的蚀刻化学剂,以横向蚀刻第一蚀刻停止层222的露出侧壁而实质上不损伤第一自对准接点结构226与凹陷的第一层间介电层224。值得注意的是,开口237不沿着Z方向垂直延伸,但沿着X方向水平延伸。如图10B所示,开口237位于第一自对准接点结构226的上侧部分226U之下。横向开口237可露出第二虚置间隔物层220,使步骤124可选择性移除第二虚置间隔物层220。
如图1、11A、及11B所示,方法100的步骤124选择性移除第二虚置间隔物层220以形成气隙238。经由步骤122形成的开口237,可选择性移除第二虚置间隔物层220以形成气隙238。如上所述,可选择第一虚置间隔物层218与第二虚置间隔物层220的材料,以选择性移除第二虚置间隔物层220而实质上不蚀刻第一虚置间隔物层218。依据本发明实施例,亦可选择第一蚀刻停止层222与第一自对准接点结构226的材料以与第二虚置间隔物层220的材料不同,因此可移除第二虚置间隔物层220以形成气隙238。如图11A及11B所示,上侧部分226U、第一蚀刻停止层222、与第一虚置间隔物层218所定义的气隙238可沿着Y方向延伸于上侧部分226U之下。如图所示,每一栅极结构232沿着X方向位于两个气隙238之间,且每一气隙238沿着Y方向位于两个开口237之间。每一气隙238与两个开口237经由流体连通。
如图1、12A、12B、13A、及13B所示,方法100的步骤126沉积密封层240以密封气隙238。在一些实施例中,密封层240可包含氮化硅、铪硅化物、氮氧化铝、氧化铪、氧化镧、氧化铝、氮化锆、碳化硅、氧化锌、碳氮氧化硅、硅、氧化钇、碳氮化钽、锆硅化物、碳氮化硅、氧化锆铝、氧化钛、氧化钽、或氧化锆。在一些实施方式中,密封层240的沉积方法可采用化学气相沉积或合适的沉积技术。值得注意的是,可选择密封层240所用的材料,因此可选择性蚀刻第一层间介电层224与第一自对准接点结构226而实质上不蚀刻密封层240。如下所述,密封层240可形成槽状的源极/漏极接点通孔。如图12A及12B所示,密封层240可封住气隙238。即使一些密封层240进入开口237,开口237的至少一部分在沉积密封层240之后仍可转变为气隙238。
如图12A所示,气隙238沿着X方向位于第一蚀刻停止层222与第一虚置间隔物层218的垂直部分之间,且位于第一自对准接点结构226的上侧部分226U与第一虚置间隔物层218的水平部分之间。第一虚置间隔物层218的垂直部分沿着栅极结构232的侧壁与第一自对准接点结构226的下侧部分226L的侧壁延伸。第一虚置间隔物层218的水平部分位于有源区204的通道区10与源极/漏极结构216上。如图12A所示,气隙238亦位于源极/漏极接点236与栅极结构232之间,并位于源极/漏极接点236与第一自对准接点结构226的下侧部分226L之间。气隙238沿着Y方向延伸越过源极/漏极接点236的两端。如图12B所示的剖面II-II’,气隙238可包含开口237的一部分且可具有沿着Y方向的倒L形。此外,剖面II-II’中的气隙238由第一虚置间隔物层218、第一蚀刻停止层222、密封层240、与上侧部分226U所定义。
如图13A及13B所示的一些其他实施例,衬垫层242衬垫源极/漏极接点236的侧壁。如图13A所示,衬垫层242可位于源极/漏极接点236与密封层240之间、位于源极/漏极接点236与第一自对准接点结构226的上侧部分226U之间、位于源极/漏极接点236与第一蚀刻停止层222之间、并位于源极/漏极接点236与第一虚置间隔物层218的水平部分之间。由于衬垫层242未图示于剖面II-II’中,衬垫层242未图示于沿着Y方向的视角。在一些实施方式中,衬垫层242可包含氮化硅、铪硅化物、氮氧化铝、氧化铪、氧化镧、氧化铝、氮化锆、碳化硅、氧化锌、碳氮氧化硅、硅、氧化钇、碳氮化钽、锆硅化物、碳氮化硅、氧化锆铝、氧化钛、氧化钽、或氧化锆。在一些例子中,衬垫层242的厚度可介于约1nm至约10nm之间。在一些例子中,可完全省略衬垫层242。在衬垫层242存在的例子中,衬垫层242的厚度可介于约1nm至约10nm之间。
以方法100形成气隙238的工艺变化可能造成多种结构。一些例子如图14所示。在一些实施方式中,步骤116形成自对准接点开口的方法会使第一虚置间隔物层218的顶部边缘圆润化。步骤120使第一层间介电层224凹陷的步骤,可能形成第一自对准接点结构226的上侧部分226U的圆润边缘。此外,第一层间介电层224的凹陷可形成下陷241于第一层间介电层224中,使密封层240的一部分可位于第一层间介电层224的下陷241中。下陷241的深度可介于约0.1nm至约20nm之间。在沉积密封层240的方法采用化学气相沉积的实施例中,可形成孔洞244于密封层240中。如图14所示,气隙238可具有第一宽度W1于第一蚀刻停止层222与第一虚置间隔物层218的垂直部分之间,具有第二宽度W2于密封层240与第一虚置间隔物层218之间,并具有第一高度H1于上侧部分226U与第一虚置间隔物层218的水平部分之间。气隙238位于第一蚀刻停止层222与第一虚置间隔物层218的垂直部分之间的部分可视作底部。气隙238位于密封层240与第一虚置间隔物层218之间的部分可视作顶部。在一些例子中,第一宽度W1可介于约1nm至约10nm之间,第二宽度W2可介于约2nm至约15nm之间,而第一高度H1可介于约2nm至约80nm之间。第一蚀刻停止层222上的第一层间介电层224可具有第二高度H2。在一些实施方式中,第二高度H2可使第一层间介电层224高于或低于相邻的第一蚀刻停止层222。在这些实施方式中,第一层间介电层224与第一蚀刻停止层222之间的差异可为约10nm。在一些例子中,第二高度H2可介于约2nm至约40nm之间。第一层间介电层224上的密封层240可具有第三高度H3。在一些例子中,第三高度H3可介于约2nm至约30nm之间。密封层240中的孔洞244的第四高度H4可介于约0.1nm至约10nm之间。
如图1、15、16、及17所示,方法100的步骤128进行额外工艺。这些额外工艺可包含沉积第二蚀刻停止层246、沉积第二层间介电层248、与形成源极/漏极接点通孔250(包含图15中的第一源极/漏极接点通孔250-1、图16中的第二源极/漏极接点通孔250-2、与图17中的第三源极/漏极接点通孔250-3)。如图15所示,形成第一源极/漏极接点通孔250-1。在一些实施例中,在形成密封层240以密封气隙238之后,沉积第二蚀刻停止层246于工件200上,并沉积第二层间介电层248于第二蚀刻停止层246上。接着形成源极/漏极接点通孔穿过第二蚀刻停止层246与第二层间介电层248,以露出源极/漏极接点236。之后可沉积导电材料如铜、钨、铝、钴、钌、或镍于源极/漏极接点通孔开口中,以形成第一源极/漏极接点通孔250-1,其接触源极/漏极接点236。第二蚀刻停止层246与第二层间介电层248的组成及形成工艺,可分别与第一蚀刻停止层222与第一层间介电层224的组成及形成工艺类似。图35A及35B分别显示工件200的上视图及剖视图,其中形成第一源极/漏极接点通孔250-1。如图所示,相邻的源极/漏极接点236沿着Y方向隔有第一层间介电层224与密封层240。接着形成多个第一源极/漏极接点通孔250-1穿过第二层间介电层248与第二蚀刻停止层246,以接触源极/漏极接点。如图35B所示的一些实施方式,无额外自对准接点层形成于源极/漏极接点236上。
第二源极/漏极接点通孔250-2如图16所示。与图15所示的第一源极/漏极接点通孔250-1不同,源极/漏极接点236的顶部凹陷以形成自对准接点凹陷与第二自对准接点结构(未图示于图16,但图示于图36B)。图36A及36B分别显示工件200的上视图与剖视图,其中形成第二源极/漏极接点通孔250-2。如图36B所示,第二自对准接点结构252形成于源极/漏极接点236中的自对准接点凹陷中,使第二自对准接点结构252位于密封层240之间。因此第二源极/漏极接点通孔250-2延伸穿过第二层间介电层248、第二蚀刻停止层246、与第二自对准接点结构252,以接触源极/漏极接点236。
如图17所示,形成第三源极/漏极接点通孔250-3。在一些实施方式中,第三源极/漏极接点通孔250-3为槽状通孔,且在槽状通孔处同时形成多个接点通孔至不同的源极/漏极接点236。与形成第二源极/漏极接点通孔250-2的方法类似,沉积第二蚀刻停止层246于工件200上,并沉积第二层间介电层248于第二蚀刻停止层246上。接着蚀刻穿过第二蚀刻停止层246与第二层间介电层248以形成槽状开口而露出至少两个相邻的源极/漏极接点236。接着沉积导电材料如铜、钨、铝、钴、钌、或镍于槽状开口中。接着采用平坦化工艺如化学机械研磨工艺以移除第二蚀刻停止层246与第二层间介电层248。在一些实施方式中,可选择密封层240的材料使形成槽状开口的蚀刻工艺对第二蚀刻停止层246与第二层间介电层248具有选择性。若无密封层240,则蚀刻工艺可无差别地蚀刻第一层间介电层224与第二层间介电层248,而不可能形成槽状通孔如第三源极/漏极接点通孔250-3。由于本发明实施例具有密封层240,蚀刻工艺实质上不蚀刻密封层240,可分开相邻的第三源极/漏极接点通孔250-3,如图37B所示。由于槽状开口跨过两个相邻的源极/漏极接点236,密封层240只分开相邻的第三源极/漏极接点通孔250-3,而形成槽状开口的工艺实质上移除相邻的第三源极/漏极接点通孔250-3之间的第二自对准接点结构252。形成槽状通孔的步骤为形成超出光刻解析度极限的装置结构或开口的措施之一。本发明实施例的密封层所提供的蚀刻选择性,有助于对准源极/漏极接点通孔开口至个别的源极/漏极接点236。在此考量下,槽状通孔的形成工艺可视作自对准的通孔形成工艺。
图15、16、及17显示本发明实施例的一些优点。形成第一源极/漏极接点通孔250-1、第二源极/漏极接点通孔250-2、与第三源极/漏极接点通孔250-3的方法,需形成源极/漏极接点通孔开口于源极/漏极接点236上。由于难以完全避免遮罩对不准的问题,源极/漏极接点通孔开口不一定总是正好位于源极/漏极接点236上。藉由横向移除第二虚置间隔物层220,可保留第一自对准接点结构226的上侧部分226U以提供所需的蚀刻选择性,并避免源极/漏极接点通孔开口进入气隙239或朝栅极230扩展地更远。如此一来,本发明实施例的方法可改善工艺容许范围、减少寄生电容、并增加良率。
接着以图18所示的方法300说明。方法300将搭配图19A、19B、20A、20B、21A、21B、22A、22B、23A、23B、24A、24B、25A、25B、26A、26B、27A、27B、28A、28B、29A、29B、30A、30B、及31至34说明如下,且这些附图各自为工件200在方法300的多种步骤时的部分剖视图或上视图。
如图18、19A、及19B所示,方法300的步骤302接收工件200。工件200包含虚置栅极堆叠206于有源区204的通道区10上、第一间隔物层212位于虚置栅极堆叠206上、第二间隔物层214位于第一间隔物层212上、与源极/漏极结构216位于有源区204的源极/漏极区20上。工件200包括有源区204(或鳍状区)连接至基板202并自基板202隆起。有源区204可包含多栅极装置的一或多个通道区。举例来说,有源区204可为鳍状场效晶体管的鳍状结构,或全绕式栅极晶体管的交错外延层的堆叠。有源区204可包含通道区10,以及与通道区10相邻的源极/漏极区20。通道区10可位于两个源极/漏极区20之间。工件200包括虚置栅极堆叠206,其包括虚置栅极208与硬遮罩210。第一栅极间隔物如第一间隔物层212位于虚置栅极堆叠206的侧壁上,而第二栅极间隔物如第二间隔物层214位于第一栅极间隔物如第一间隔物层212的侧壁上。虽然图19A未图示,虚置栅极堆叠206亦可包含虚置栅极介电层位于虚置栅极208与有源区204的通道区10之间。
在本发明实施例的说明中,类似标号用于标示类似结构。工件200上的大部分结构已搭配方法100大致说明如上,因此可省略详细说明以简化内容。
如图18、20A、及20B所示,方法300的步骤304移除虚置栅极堆叠206的侧壁上的第一间隔物层212与第二间隔物层214的一部分。步骤304为了制造第三虚置间隔物层219与第二虚置间隔物层220所用的空间(其将形成于步骤306如下),实质上移除第一间隔物层212与第二间隔物层214高于源极/漏极结构216的一部分,以留下薄化的第一间隔物层212’。步骤304可进行合适的干蚀刻工艺或合适的湿蚀刻工艺,其蚀刻第二间隔物层214的速率大于蚀刻第一间隔物层212的速率,以完全移除第二间隔物层214并部分地移除第一间隔物层212。薄化的第一间隔物层212'的厚度可介于约1nm至约10nm之间。
如图18、21A、及21B所示,方法300的步骤306沉积第三虚置间隔物层219与第二虚置间隔物层220于虚置栅极堆叠206上。在一些实施例中,每一第三虚置间隔物层219与第二虚置间隔物层220的组成可为氮化硅、铪硅化物、氮氧化铝、氧化铪、氧化镧、氧化铝、氮化锆、碳化硅、氧化锌、碳氮氧化硅、硅、氧化钇、碳氮化钽、锆硅化物、碳氮化硅、氧化锆铝、氧化钛、氧化钽、或氧化锆。如图21A所示,有源区204中的第三虚置间隔物层219可位于源极/漏极结构216、薄化的第一间隔物层212’、与硬遮罩210的上表面上。如图21B所示,有源区204之外的第三虚置间隔物层219可位于源极/漏极结构216、第二间隔物层214的上表面、薄化的第一间隔物层212’、与硬遮罩210的上表面上。如图21A及21B所示,第二虚置间隔物层220位于第三虚置间隔物层219上。值得注意的是,虽然第三虚置间隔物层219与第二虚置间隔物层220的材料选择与前述的介电材料类似,第三虚置间隔物层219与第二虚置间隔物层220具有不同组成。第三虚置间隔物层219与第二虚置间隔物层220的组成不同,可选择性蚀刻第二虚置间隔物层220而实质上不蚀刻第三虚置间隔物层219。由图21A及21B的上视图可知,第二虚置间隔物层220毯覆性地沉积于工件200上。在一些实施例中,第三虚置间隔物层219的厚度可介于约0.5nm至约5nm之间,而第二虚置间隔物层220的厚度可介于约1nm至约10nm之间。由于薄化的第一间隔物层212'与第三虚置间隔物层219均可保护虚置栅极堆叠206,薄化的第一间隔物层212’的存在可减少第三虚置间隔物层219的厚度。相反地,依据方法100形成的第一虚置间隔物层218需单独保护虚置栅极堆叠206。因此第三虚置间隔物层219的厚度小于第一虚置间隔物层218的厚度。
如图18、22A、及22B所示,方法300的步骤308移除第二虚置间隔物层220的顶面部分。步骤308可进行选择性的非等向蚀刻工艺,以自工件200的顶面表面移除第二虚置间隔物层220。如图22A及22B所示,步骤308的选择性蚀刻可留下沿着第三虚置间隔物层219的侧壁的第二虚置间隔物层220的垂直部分,而第三虚置间隔物层219沿着薄化的第一间隔物层212’的侧壁。步骤308的蚀刻工艺亦可减少工件200的顶面表面上的第三虚置间隔物层219的厚度。
如图18、23A、及23B所示,方法300的步骤310沉积第一蚀刻停止层222与第一层间介电层224于工件200上。第一蚀刻停止层222与第一层间介电层224毯覆性地沉积于工件200上,包括源极/漏极结构216上的第三虚置间隔物层219上以及保留的第二虚置间隔物层220的侧壁上。在一些实施例中,第一蚀刻停止层222可包含半导体氮化物,比如氮化硅。在一些实施方式中,第一层间介电层224可为介电材料如四乙氧基硅烷的氧化物、未掺杂的硅酸盐玻璃、掺杂氧化硅(如硼磷硅酸盐玻璃、氟硅酸盐玻璃、磷硅酸盐玻璃、或硼硅酸盐玻璃)、其他合适的介电材料、或上述的组合。在所述实施例中,第一层间介电层224包括含氧的介电材料。第一层间介电层224可包含多层结构或单层结构,且其形成方法可为沉积工艺如化学气相沉积、可流动的化学气相沉积、旋转涂布玻璃、其他合适方法、或上述的组合。
如图18、23A、及23B所示,方法300的步骤312平坦化工件200以露出虚置栅极堆叠206。步骤312可对工件200进行平坦化工艺如化学机械研磨,以移除虚置栅极208上的多余第一蚀刻停止层222、多余第一层间介电层224、与硬遮罩210。如图23A及23B所示,步骤310造成工件200包括平坦的上表面,比如第一层间介电层224、第一蚀刻停止层222、第三虚置间隔物层219、第二虚置间隔物层220、薄化的第一间隔物层212’、与虚置栅极208的上表面共平面。
如图18、24A、及24B所示,方法300的步骤314将虚置栅极堆叠206置换成栅极结构232。在一些实施例中,虚置栅极堆叠206可作为功能的栅极结构232的占位物,且步骤314可选择性蚀刻移除虚置栅极堆叠206。在虚置栅极208的组成为多晶硅的例子中,可采用对虚置栅极208具有选择性的蚀刻工艺以移除虚置栅极208而露出有源区204的通道区10。在一些实施例中,栅极结构232包括栅极介电层228与栅极230。栅极介电层228可包含界面层于有源区204的通道区10上,以及一或多个高介电常数(比如介电常数大于氧化硅的介电常数如约3.9)的介电层位于界面层上。在一些实施例中,界面层可包含氧化硅,而高介电常数的介电层可包含氧化铪、氧化锆、氧化铝、氧化铪-氧化铝合金、氧化铪硅、氮氧化铪硅、氧化铪钽、氧化铪钛、氧化铪锆、类似物、或上述的组合。界面层可增进高介电常数的介电层对有源区204的通道区10的黏着性。栅极230可包含至少一功函数金属层与位于其上的金属填充层。依据半导体装置如工件200的导电型态,功函数金属层可为p型或n型的功函数金属层。例示性功函数材料包含氮化钛、氮化钽、钌、钼、铝、氮化钨、锆硅化物、钼硅化物、钽硅化物、镍硅化物、钛、银、钽铝、碳化钽铝、氮化钛铝、碳化钽、碳氮化钽、氮化钽硅、锰、锆、其他合适的功函数材料、或上述的组合。金属填充层可包含铜、钨、铝、钴、其他合适材料、或上述的组合,且其沉积方法可采用物理气相沉积、化学气相沉积、原子层沉积、或其他合适工艺。在一些实施例中,栅极结构232可进一步包含衬垫层、阻挡层、其他合适层、或上述的组合。
如图18、24A、及24B所示,方法300的步骤316形成第一自对准接点结构226于栅极结构232上。在一些实施例中,选择性蚀刻第一蚀刻停止层222、第二虚置间隔物层220、第三虚置间隔物层219、与栅极结构232以形成自对准接点开口(未图示于图24A及24B)。在一些实施方式中,选择性蚀刻功能的栅极结构232的速率较快,造成沿着Y方向的视角的T形自对准接点开口。接着沉积介电材料于T形自对准接点开口中以形成第一自对准接点结构226,其沿着Y方向的视角亦为T形。在一些实施例中,介电层可包含氮化硅、铪硅化物、氮氧化铝、氧化铪、氧化镧、氧化铝、氮化锆、碳化硅、氧化锌、碳氮氧化硅、硅、氧化钇、碳氮化钽、锆硅化物、碳氮化硅、氧化锆铝、氧化钛、氧化钽、或氧化锆。在一些例子中,T形的第一自对准接点结构226可包含与栅极结构232相邻的下侧部分226L,以及下侧部分226L上的上侧部分226U。在图25A及25B所示的一些实施方式中,上侧部分226U位于下侧部分226L以及第一蚀刻停止层222、第二虚置间隔物层220、薄化的第一间隔物层212’、与第三虚置间隔物层219的上表面上。第一自对准接点结构226的下侧部分226L位于薄化的第一间隔物层212’之间。在一些例子中,上侧部分226U沿着Z方向的厚度可介于约1nm至约30nm之间,而下侧部分226L沿着Z方向的厚度可介于约1nm至约30nm之间。第一自对准接点结构226亦可视作盖层。
如图1、25A、及25B所示,方法300的步骤318形成源极/漏极接点236于源极/漏极结构216上。虽然未图示,可形成源极/漏极接点开口于源极/漏极结构216的一部分上,以露出源极/漏极结构216的此部分。接着沉积金属材料于源极/漏极结构216上,并退火工件200以产生金属材料与源极/漏极结构216之间的硅化反应,而形成硅化物结构234于源极/漏极结构216上。在一些例子中,金属材料可包含钛、镍、钴、钽、或钨,且硅化物结构234可包含钛硅化物、镍硅化物、钴硅化物、钽硅化物、或钨硅化物。硅化物结构234可减少接点电阻。在形成源极/漏极接点开口之后,可沉积源极/漏极接点236于源极/漏极接点开口中。每一源极/漏极接点236的组成可为金属如铜、钨、铝、钴、钌、镍、其他合适材料、或上述的组合,且其沉积方法可采用物理气相沉积、化学气相沉积、原子层沉积、或其他合适工艺。在沉积源极/漏极接点236之后,可平坦化工件200以移除第一层间介电层224上的源极/漏极接点236的多余金属,使源极/漏极接点236与第一层间介电层224的上表面共平面。
如图18、26A、及26B所示,方法300的步骤320使第一层间介电层224凹陷,以露出第一蚀刻停止层222的一部分。在一些实施例中,步骤320进行选择性蚀刻工艺使第一层间介电层224部分凹陷。在这些实施例中,采用第一自对准接点结构226与源极/漏极接点236作为蚀刻遮罩,并选择性蚀刻第一层间介电层224以形成凹陷的第一层间介电层224’。在图26A及26B所示的一些实施方式中,使第一层间介电层224凹陷以露出第一蚀刻停止层222的侧壁的一部分。在一些例子中,由于第一蚀刻停止层222与第一层间介电层224的组成材料不同,步骤320可使第一层间介电层224选择性地凹陷,而实质上不损伤第一蚀刻停止层222。在一些例子中,第一蚀刻停止层222的厚度可介于约1nm至约10nm之间。
如图18、27A、及27B所示,方法300的步骤322蚀刻第一蚀刻停止层222的露出部分,以形成横向开口237而露出第二虚置间隔物层220。在一些实施例中,选择步骤322的蚀刻工艺的蚀刻化学剂以横向蚀刻第一蚀刻停止层222的露出侧壁,而实质上不损伤第一自对准接点结构226与凹陷的第一层间介电层224。值得注意的是,开口237不沿着Z方向垂直延伸,但沿着X方向水平延伸。如图27B所示,开口237位于第一自对准接点结构226的上侧部分226U之下。横向开口237可露出第二虚置间隔物层220,使步骤324可选择性移除第二虚置间隔物层220。
如图18、28A、及28B所示,方法300的步骤324选择性移除第二虚置间隔物层220以形成气隙239。经由步骤322形成的开口237,可选择性移除第二虚置间隔物层220以形成气隙239。如上所述,选择第三虚置间隔物层219与第二虚置间隔物层220的材料,以选择性移除第二虚置间隔物层220而实质上不蚀刻第三虚置间隔物层219。依据本发明实施例,亦选择第一蚀刻停止层222与第一自对准接点结构226的材料以与第二虚置间隔物层220的材料不同,因此可移除第二虚置间隔物层220以形成气隙239。如图28A及28B所示,由上侧部分226U、第一蚀刻停止层222、与第三虚置间隔物层219所定义的气隙239,沿着Y方向延伸于上侧部分226U之下。如图所示,每一栅极结构232沿着X方向位于两个气隙239之间,且每一气隙239沿着Y方向位于两个开口237之间。每一气隙239可与两个开口237经由流体连通。
如图18、29A、29B、30A、及30B所示,方法300的步骤326沉积密封层240以密封气隙239。在一些实施例中,密封层240可包含氮化硅、铪硅化物、氮氧化铝、氧化铪、氧化镧、氧化铝、氮化锆、碳化硅、氧化锌、碳氮氧化硅、硅、氧化钇、碳氮化钽、锆硅化物、碳氮化硅、氧化锆铝、氧化钛、氧化钽、或氧化锆。在一些实施方式中,密封层240的沉积方法可采用化学气象沉积或合适的沉积技术。值得注意的是选择密封层240所用的材料,可选择性蚀刻第一层间介电层224与第一自对准接点结构226而实质上不蚀刻密封层240。如下所述,密封层240可用于形成槽状源极/漏极接点通孔。如图29A及29B所示,密封层240密封气隙239。即使一些密封层240可能进入开口237,沉积密封层240之后的开口237的至少一部分仍可转变为气隙239的一部分。
如图29A所示,气隙239沿着X方向位于第一蚀刻停止层222与第三虚置间隔物层219的垂直部分之间,并沿着Z方向位于第一自对准接点结构226的上侧部分226U与第三虚置间隔物层219的水平部分之间。第三虚置间隔物层219的垂直部分沿着薄化的第一间隔物层212’的侧壁延伸。第三虚置间隔物层219的水平部分位于薄化的第一间隔物层212’的水平部分与源极/漏极结构216上。第三虚置间隔物层219的垂直部分沿着X方向位于薄化的第一间隔物层212’与气隙239之间。如图29A所示,气隙239亦位于源极/漏极接点236与栅极结构232之间,以及源极/漏极接点236与第一自对准接点结构226的下侧部分226L之间。气隙239沿着Y方向延伸越过源极/漏极接点236的两端。如图29B所示的剖面II-II’,气隙239可包含开口237的一部分,且可具有沿着Y方向的倒L形。此外,剖面II-II’中的气隙239由第三虚置间隔物层219、第一蚀刻停止层222、密封层240、与上侧部分226U所定义。
如图30A及30B所示的一些其他实施例中,衬垫层242衬垫源极/漏极接点236的侧壁。如图30A所示,衬垫层242可位于源极/漏极接点236与密封层240之间、位于源极/漏极接点236与第一自对准接点结构226的上侧部分之间、位于源极/漏极接点236与第一蚀刻停止层222之间、并位于源极/漏极接点236与第三虚置间隔物层219的水平部分之间。由于衬垫层242未图示于剖面II-II’,衬垫层242亦未图示于图30B中沿着Y方向的视角。在一些实施方式中,衬垫层242可包含氮化硅、铪硅化物、氮氧化铝、氧化铪、氧化镧、氧化铝、氮化锆、碳化硅、氧化锌、碳氮氧化硅、硅、氧化钇、碳氮化钽、锆硅化物、碳氮化硅、氧化锆铝、氧化钛、氧化钽、或氧化锆。在一些例子中,衬垫层242的厚度可介于约1nm至约10nm之间。在一些例子中,可完全省略衬垫层242。在衬垫层242存在的例子中,衬垫层242的厚度可介于约1nm至约10nm之间。
方法300形成气隙239的工艺变化可能会造成不同结构。一些例子如图31所示。在一些实施方式中,形成自对准接点开口的步骤316可能使薄化的第一间隔物层212’与第三虚置间隔物层219的顶部边缘圆润化。由于形成自对准接点开口的蚀刻工艺对薄化的第一间隔物层212'与第三虚置间隔物层219的蚀刻速率不同,薄化的第一间隔物层212'与第三虚置间隔物层219的上表面可不平滑与连续。步骤320使第一层间介电层224凹陷,可形成第一自对准接点结构226的上侧部分的圆润边缘。此外,第一层间介电层224的凹陷可形成下陷241于第一层间介电层224中,使密封层240的一部分可位于第一层间介电层224的下陷241中。下陷241的深度可介于约0.1nm至约20nm之间。在一些实施例中,采用化学气相沉积沉积密封层240,且可形成孔洞244于密封层240中。如图31所示,气隙239在第一蚀刻停止层222与第三虚置间隔物层219的垂直部分之间具有第一宽度W1,在密封层240与第三虚置间隔物层219之间具有第二宽度W2,且在上侧部分226U与第三虚置间隔物层219的水平部分之间具有第五高度H5。气隙239位于第一蚀刻停止层222与第三虚置间隔物层219的垂直部分之间的部分可视作底部。气隙238位于密封层240与第三虚置间隔物层219之间的部分可视作顶部。在一些例子中,第一宽度W1可介于约1nm至约10nm之间,第二宽度W2可介于约2nm至约15nm之间,而第五高度H5可介于约12nm至约60nm之间。由于第一虚置间隔物层218与第三虚置间隔物层219之间的厚度差异,气隙239的第五高度H5可与气隙238的第一高度H1不同。在一些例子中,第五高度H5大于第一高度H1。第一蚀刻停止层222上的第一层间介电层224可具有第二高度H2。在一些实施方式中,第二高度H2可使第一层间介电层224高于或低于相邻的第一蚀刻停止层222。在这些实施方式中,第一层间介电层224与第一蚀刻停止层222之间的差异可为约10nm。在一些例子中,第二高度H2可介于约2nm至约40nm之间。第一层间介电层224上的密封层240可具有第三高度H3。在一些例子中,第三高度H3可介于约2nm至约30nm之间。密封层240中的孔洞244的第四高度H4介于约0.1nm至约10nm之间。
如图18、32、33、及34所示,方法300的步骤328进行额外工艺。这些额外工艺可包含沉积第二蚀刻停止层246、沉积第二层间介电层248、与形成源极/漏极接点通孔250(包括图32中的第一源极/漏极接点通孔250-1、图33中的第二源极/漏极接点通孔250-2、与图34中的第三源极/漏极接点通孔250-3)。首先如图32所示,其中形成第一源极/漏极接点通孔250-1。在一些实施例中,形成密封层240以密封气隙239之后,沉积第二蚀刻停止层246于工件200上,并沉积第二层间介电层248于第二蚀刻停止层246上。接着形成源极/漏极接点通孔开口穿过第二蚀刻停止层246与第二层间介电层248,以露出源极/漏极接点236。之后可沉积导电材料如铜、钨、铝、钴、钌、或镍于源极/漏极接点通孔开口中,以形成第一源极/漏极接点通孔250-1,其接触源极/漏极接点236。第二蚀刻停止层246与第二层间介电层248的组成及形成工艺,可分别与第一蚀刻停止层222与第一层间介电层224的组成及形成工艺实质上类似。图35A及35B分别显示工件200的上视图与与剖视图,其中形成第一源极/漏极接点通孔250-1。如图所示,相邻的源极/漏极接点236沿着Y方向隔有第一层间介电层224与密封层240。接着形成多个第一源极/漏极接点通孔250-1穿过第二层间介电层248与第二蚀刻停止层246,以接触源极/漏极接点。如图35B所示的一些实施方式,不形成额外的自对准接点层于源极/漏极接点236上。
第二源极/漏极接点通孔250-2如图33所示。与图32所示的第一源极/漏极接点通孔250-1不同,源极/漏极接点236的顶部凹陷以形成自对准接点凹陷与第二自对准接点结构(未图示于图33,但图示于图36B)。图36A及36B分别显示工件200的上视图与剖视图,其中形成第二源极/漏极接点通孔250-2。如图36B所示,第二自对准接点结构252形成于延伸至源极/漏极接点236中的自对准接点凹陷中,使第二自对准接点结构252位于密封层240之间。因此第二源极/漏极接点通孔250-2延伸穿过第二层间介电层248、第二蚀刻停止层246、与第二自对准接点结构252,以接触源极/漏极接点236。相邻的第二源极/漏极接点通孔250-2隔有密封层240与第二自对准接点结构252。
如图34所示,其中形成第三源极/漏极接点通孔250-3。在一些实施方式中,第三源极/漏极接点通孔250-3为槽状通孔,且在槽状通孔处同时形成多个接点通孔至不同的源极/漏极接点236。与第二源极/漏极接点通孔250-2的形成方法类似,可沉积第二蚀刻停止层246于工件200上,并沉积第二层间介电层248于第二蚀刻停止层246上。接着蚀刻穿过第二蚀刻停止层246与第二层间介电层248,以形成槽状开口而露出至少两个相邻的源极/漏极接点236。接着可沉积导电材料如铜、钨、铝、钴、钌、或镍于槽状开口中。接着可采用平坦化工艺如化学机械研磨工艺,以移除第二蚀刻停止层246与第二层间介电层248。在一些实施方式中,选择密封层240的材料,使形成槽状开口所用的蚀刻工艺对第二蚀刻停止层246与第二层间介电层248具有选择性。若无密封层240,蚀刻工艺将无差别地蚀刻第一层间介电层224与第二层间介电层248,而不可能形成槽状通孔如第三源极/漏极接点通孔250-3。由于本发明实施例具有密封层240,蚀刻工艺实质上不蚀刻密封层240,可分开相邻的第三源极/漏极接点通孔250-3,如图37B所示。由于槽状开口跨过两个相邻的源极/漏极接点236,密封层240只分开相邻的第三源极/漏极接点通孔250-3,而形成槽状开口的工艺实质上移除相邻的第三源极/漏极接点通孔250-3之间的第二自对准接点结构252。由于密封层240比第一层间介电层224致密(孔洞较少),采用密封层240分开接点通孔的作法可避免时间相关的介电崩溃。形成槽状通孔为超出光刻解析度极限的装置结构或开口的措施之一。本发明实施例的密封层240所提供的蚀刻选择性,有助于对准源极/漏极接点通孔开口与个别的源极/漏极接点236。在此考量下,形成槽状通孔的工艺可视作自对准的通孔形成工艺。
图32、33、及34显示本发明实施例的一些优点。形成第一源极/漏极接点通孔250-1、第二源极/漏极接点通孔250-2、与第三源极/漏极接点通孔250-3的方法,需形成源极/漏极接点通孔开口于源极/漏极接点236上。由于难以完全避免遮罩对不准的问题,源极/漏极接点通孔开口不一定总是正好位于源极/漏极接点236上。藉由横向移除第二虚置间隔物层220,可保留第一自对准接点结构226的上侧部分226U以提供所需的蚀刻选择性,并避免源极/漏极接点通孔开口进入气隙239或朝栅极230扩展地更远。如此一来,本发明实施例的方法可改善工艺容许范围、减少寄生电容、并增加良率。
本发明一或多个实施例提供优点但不局限于此。举例来说,本发明实施例提供的半导体装置中,可形成气隙于栅极结构与源极/漏极接点之间,而不劣化栅极结构上的盖层或自对准接点结构。在沿着栅极结构的长度方向的视角中,栅极结构上的自对准接点结构为实质上T形,且依据本发明实施例形成的气隙至少部分地位于T形的自对准接点结构的一部分之下。此结构的可能性来自于形成横向开口与横向移除虚置间隔物层。以密封层密封气隙,且密封层的材料及蚀刻选择性与相邻的层间介电层的材料及蚀刻选择性不同。此密封层可用于形成槽状通孔。由于形成槽状通孔的工艺容许范围大于形成个别通孔的工艺容许范围,本发明实施例采用密封层的作法可改善工艺容许范围。
因此在一实施例中,提供半导体装置。半导体装置包括有源区,包括通道区以及与通道区相邻的源极/漏极区;栅极结构,位于有源区的通道区上;源极/漏极接点,位于源极/漏极区上;介电结构,位于栅极结构上,且介电结构包括与栅极结构相邻的下侧部分,以及远离栅极结构的上侧部分;以及气隙,位于栅极结构与源极/漏极接点之间。介电结构的上侧部分沿着第一方向的第一宽度,大于介电结构的下侧部分沿着第一方向的第二宽度。气隙位于介电结构的上侧部分之下。
在一些实施例中,半导体装置还包括第一栅极间隔物层以及蚀刻停止层。气隙沿着第一方向位于第一栅极间隔物层与蚀刻停止层之间,第一栅极间隔物层沿着第一方向位于栅极结构与气隙之间,且蚀刻停止层沿着第一方向位于气隙与源极/漏极接点之间。在一些实施例中,第一栅极间隔物层包括氮化硅、铪硅化物、氮氧化铝、氧化铪、氧化镧、氧化铝、氧化锆、碳化硅、氧化锌、碳氮氧化硅、硅、氧化钇、碳氮化钽、锆硅化物、碳氮化硅、氧化锆铝、氧化钛、氧化钽、或氧化锆。在一些例子中,栅极结构沿着第二方向自通道区延伸,且第二方向垂直于第一方向,其中气隙沿着第二方向位于介电结构的上侧部分与第一栅极间隔物层之间。在一些实施方式中,栅极结构沿着第二方向自通道区延伸,且第二方向垂直于第一方向,其中蚀刻停止层沿着第二方向位于介电结构的上侧部分与第一栅极间隔物层之间。在一些实施例中,半导体装置可还包括衬垫层沿着第一方向位于源极/漏极接点与蚀刻停止层之间。在一些实施方式中,半导体装置还包括第二栅极间隔物层,沿着第一方向位于第一栅极间隔物层与栅极结构之间。在一些实施例中,第一栅极间隔物层的一部分位于第二栅极间隔物层上。
在另一实施例中,提供半导体装置。半导体装置包括栅极结构;第一栅极间隔物层,沿着栅极结构的侧壁延伸;源极/漏极结构,与栅极结构相邻;密封层,位于源极/漏极结构上;介电结构,位于栅极结构上,且介电结构包括与栅极结构相邻的下侧部分以及远离栅极结构的上侧部分;以及气隙,位于介电结构的上侧部分之下。介电结构的上侧部分沿着第一方向的第一宽度,大于介电结构的下侧部分沿着第一方向的第二宽度。气隙沿着第一方向位于密封层与第一栅极间隔物层之间。
在一些实施例中,密封层接触介电结构的上侧部分。在一些实施方式中,半导体装置可还包括:蚀刻停止层,位于源极/漏极结构上,且气隙延伸于蚀刻停止层与第一栅极间隔物层之间。在一些实施例中,气隙的一部分直接位于蚀刻停止层的一部分上。在一些例子中,第一栅极间隔物层包括水平部分位于源极/漏极结构上,且蚀刻停止层位于第一栅极间隔物层的水平部分上。在一些实施例中,半导体装置可还包括层间介电层位于蚀刻停止层上,且密封层位于层间介电层上。
在又一实施例中,提供半导体装置的形成方法。方法包括接收工件,且工件包括有源区,有源区包括通道区以及与通道区相邻的源极/漏极结构;形成虚置栅极堆叠于通道区上;形成第一虚置间隔物层于虚置栅极堆叠与源极/漏极结构上;形成第二虚置间隔物层于第一虚置间隔物层与源极/漏极结构上;使第二虚置间隔物层凹陷以露出第一虚置间隔物层的顶面表面,而第二虚置间隔物层维持覆盖第一虚置间隔物层的侧壁;沉积蚀刻停止层于第一虚置间隔物层与第二虚置间隔物层上;沉积层间介电层于蚀刻停止层上;将虚置栅极堆叠置换成栅极结构;使栅极结构、第一虚置间隔物层、第二虚置间隔物层、与蚀刻停止层凹陷,以形成自对准接点开口;形成介电结构于自对准接点开口中;形成接点结构延伸穿过蚀刻停止层、层间介电层、与第一虚置间隔物层,以接触源极/漏极结构;使层间介电层与蚀刻停止层选择性凹陷,而实质上不蚀刻介电结构与接点结构,以形成与接点结构相邻的开口并露出第二虚置间隔物层的一部分;以及选择性移除第二虚置间隔物层以形成气隙。
在一些实施例中,形成介电结构的步骤包括形成介电结构的下侧部分于栅极结构上,并形成介电结构的上侧部分于下侧部分、第一虚置间隔物层、与第二虚置间隔物层上,其中气隙位于介电结构的上侧部分之下。在一些实施例中,方法还包括在选择性移除第二虚置间隔物层之后,沉积密封层于层间介电层上。密封层接触介电结构的上侧部分。在一些实施方式中,有源区的长度方向沿着第一方向延伸,其中气隙的底部沿着第一方向位于蚀刻停止层与第一虚置间隔物层之间,且气隙的顶部沿着第一方向位于密封层与第一虚置间隔物层之间。在一些例子中,栅极结构的长度方向沿着第二方向延伸,且第二方向垂直于第一方向,其中气隙沿着第二方向延伸越过接点结构的所有长度。在一些实施例中,栅极结构沿着第三方向自通道区延伸,且第三方向垂直于第一方向与第二方向,且气隙沿着第三方向位于介电结构的上侧部分与第一虚置间隔物层之间。
上述实施例的特征有利于本技术领域中普通技术人员理解本发明。本技术领域中普通技术人员应理解可采用本发明作基础,设计并变化其他工艺与结构以完成上述实施例的相同目的及/或相同优点。本技术领域中普通技术人员亦应理解,这些等效置换并未脱离本发明精神与范畴,并可在未脱离本发明的精神与范畴的前提下进行改变、替换、或更动。

Claims (1)

1.一种半导体装置,包括:
一有源区,包括一通道区以及与该通道区相邻的一源极/漏极区;
一栅极结构,位于该有源区的该通道区上;
一源极/漏极接点,位于该源极/漏极区上;
一介电结构,位于该栅极结构上,且该介电结构包括与该栅极结构相邻的一下侧部分,以及远离该栅极结构的一上侧部分;以及
一气隙,位于该栅极结构与该源极/漏极接点之间;
其中该介电结构的该上侧部分沿着一第一方向的一第一宽度,大于该介电结构的该下侧部分沿着该第一方向的一第二宽度,
其中该气隙位于该介电结构的该上侧部分之下。
CN202110377063.9A 2020-07-21 2021-04-08 半导体装置 Pending CN113725276A (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/935,061 2020-07-21
US16/935,061 US11817491B2 (en) 2020-07-21 2020-07-21 Semiconductor device having an air gap along a gate spacer

Publications (1)

Publication Number Publication Date
CN113725276A true CN113725276A (zh) 2021-11-30

Family

ID=76999657

Family Applications (1)

Application Number Title Priority Date Filing Date
CN202110377063.9A Pending CN113725276A (zh) 2020-07-21 2021-04-08 半导体装置

Country Status (5)

Country Link
US (2) US11817491B2 (zh)
EP (1) EP3944333A1 (zh)
JP (1) JP2022021334A (zh)
CN (1) CN113725276A (zh)
TW (1) TW202205596A (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11437273B2 (en) * 2019-03-01 2022-09-06 Micromaterials Llc Self-aligned contact and contact over active gate structures

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9029260B2 (en) 2011-06-16 2015-05-12 Taiwan Semiconductor Manufacturing Company, Ltd. Gap filling method for dual damascene process
KR101887414B1 (ko) * 2012-03-20 2018-08-10 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US8779592B2 (en) 2012-05-01 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via-free interconnect structure with self-aligned metal line interconnections
US9577067B2 (en) * 2014-08-20 2017-02-21 Taiwan Semiconductor Manufacturing Company Ltd. Metal gate and manufuacturing process thereof
US9613856B1 (en) 2015-09-18 2017-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9972529B2 (en) 2015-09-28 2018-05-15 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming metal interconnection
US9716158B1 (en) * 2016-03-21 2017-07-25 International Business Machines Corporation Air gap spacer between contact and gate region
US9768061B1 (en) 2016-05-31 2017-09-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k dielectric interconnect systems
KR102365108B1 (ko) * 2017-08-01 2022-02-18 삼성전자주식회사 집적회로 장치
US10170322B1 (en) 2017-11-16 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Atomic layer deposition based process for contact barrier layer
US11011617B2 (en) * 2018-03-23 2021-05-18 International Business Machines Corporation Formation of a partial air-gap spacer
US10861953B2 (en) * 2018-04-30 2020-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Air spacers in transistors and methods forming same
US10700180B2 (en) * 2018-07-27 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US11038059B2 (en) * 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US10950692B2 (en) * 2018-09-04 2021-03-16 Globalfoundries U.S. Inc. Methods of forming air gaps between source/drain contacts and the resulting devices
US11101385B2 (en) * 2018-09-19 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor (FinFET) device structure with air gap and method for forming the same
US10854506B2 (en) * 2018-09-27 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10825721B2 (en) * 2018-10-23 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Insulating cap on contact structure and method for forming the same
US10840351B2 (en) * 2019-01-03 2020-11-17 International Business Machines Corporation Transistor with airgap spacer and tight gate pitch
US10825910B1 (en) * 2019-04-17 2020-11-03 Globalfoundries Inc. Shaped gate caps in dielectric-lined openings
US11094794B2 (en) * 2019-09-27 2021-08-17 Globalfoundries U.S. Inc. Air spacer structures

Also Published As

Publication number Publication date
US20220028999A1 (en) 2022-01-27
EP3944333A1 (en) 2022-01-26
US20220367669A1 (en) 2022-11-17
JP2022021334A (ja) 2022-02-02
TW202205596A (zh) 2022-02-01
US11955535B2 (en) 2024-04-09
US11817491B2 (en) 2023-11-14

Similar Documents

Publication Publication Date Title
TWI731284B (zh) 半導體結構及形成積體電路結構的方法
US20190229120A1 (en) Semiconductor device and manufacturing method thereof
US11575027B2 (en) Dummy dielectric fin design for parasitic capacitance reduction
US10847513B2 (en) Buried interconnect conductor
CN111092122A (zh) 半导体结构的形成方法
KR101979515B1 (ko) 반도체 디바이스 및 방법
CN105529357A (zh) 用于FinFET的方法和结构
CN113725278A (zh) 半导体装置
CN110943042A (zh) 集成电路的制作方法
TW202113942A (zh) 半導體結構
CN107017256B (zh) 半导体器件中的局部互连件及其制造方法
KR102379097B1 (ko) 저비저항 전도성층을 통한 게이트 저항 감소
CN113764408A (zh) 半导体装置
CN113471147A (zh) 制造半导体器件的方法和静电放电器件
US20230369333A1 (en) Semiconductor device and manufacturing method thereof for selectively etching dummy fins
CN115497876A (zh) 半导体结构的制造方法
US20220367669A1 (en) Air Spacers For Semiconductor Devices
TW202209414A (zh) 半導體裝置
CN220753435U (zh) 半导体结构
US11374089B2 (en) Shallow trench isolation (STI) contact structures and methods of forming same
US20240096985A1 (en) Semiconductor device contact structures and methods of fabricating thereof
US20240055476A1 (en) Isolation Structures in Semiconductor Devices
US20220375860A1 (en) Structure and method for transistors having backside power rails
TW202310164A (zh) 半導體裝置的製作方法
CN113270367A (zh) 半导体装置的制作方法

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
WD01 Invention patent application deemed withdrawn after publication

Application publication date: 20211130

WD01 Invention patent application deemed withdrawn after publication