TWI807049B - 基板處理方法及基板處理裝置 - Google Patents

基板處理方法及基板處理裝置 Download PDF

Info

Publication number
TWI807049B
TWI807049B TW108121136A TW108121136A TWI807049B TW I807049 B TWI807049 B TW I807049B TW 108121136 A TW108121136 A TW 108121136A TW 108121136 A TW108121136 A TW 108121136A TW I807049 B TWI807049 B TW I807049B
Authority
TW
Taiwan
Prior art keywords
substrate
chamber
film
processing
treatment
Prior art date
Application number
TW108121136A
Other languages
English (en)
Other versions
TW202002014A (zh
Inventor
田端雅弘
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW202002014A publication Critical patent/TW202002014A/zh
Application granted granted Critical
Publication of TWI807049B publication Critical patent/TWI807049B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/02Pretreatment of the material to be coated
    • C23C16/0272Deposition of sub-layers, e.g. to promote the adhesion of the main coating
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/04Coating on selected surface areas, e.g. using masks
    • C23C16/045Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/24Deposition of silicon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45534Use of auxiliary reactants other than used for contributing to the composition of the main film, e.g. catalysts, activators or scavengers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/507Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using external electrodes, e.g. in tunnel type reactors
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/515Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using pulsed discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/56After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/3244Gas supply means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32715Workpiece holder
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32798Further details of plasma apparatus not provided for in groups H01J37/3244 - H01J37/32788; special provisions for cleaning or maintenance of the apparatus
    • H01J37/32899Multiple chambers, e.g. cluster tools
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02249Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by combined oxidation and nitridation performed simultaneously
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67242Apparatus for monitoring, sorting or marking
    • H01L21/67276Production flow monitoring, e.g. for increasing throughput
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • H05H1/24Generating plasma
    • H05H1/46Generating plasma using applied electromagnetic fields, e.g. high frequency or microwave energy
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02118Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC
    • H01L21/0212Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer carbon based polymeric organic or inorganic material, e.g. polyimides, poly cyclobutene or PVC the material being fluoro carbon compounds, e.g.(CFx) n, (CHxFy) n or polytetrafluoroethylene
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Plasma & Fusion (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Analytical Chemistry (AREA)
  • Electromagnetism (AREA)
  • Inorganic Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Automation & Control Theory (AREA)
  • Chemical Vapour Deposition (AREA)
  • Cleaning Or Drying Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)
  • Formation Of Insulating Films (AREA)
  • Manufacturing Of Printed Circuit Boards (AREA)

Abstract

提供一種基板處理方法及基板處理裝置,能夠精密控制半導體基板的圖案。基板處理裝置包括:處理裝置,其具有腔室;以及控制器,其具有存儲器、以及與該存儲器連接的處理器。其中,存儲器存儲用於對處理器進行控制而對處理裝置的處理進行控制的、可藉由計算機執行的命令。處理裝置的處理包括第一處理,藉由化學氣相沉積(CVD),在腔室內的基板的第一區域內形成第一膜。此外,處理裝置的處理包括第二處理,藉由原子層沉積(ALD),在腔室內的基板的第二區域內形成第二膜。此外,處理裝置不將基板從腔室移動至其外而實施第一處理及第二處理。

Description

基板處理方法及基板處理裝置
本發明係關於一種基板處理方法及基板處理裝置。
目前已知有各種成膜方法。例如,化學氣相沉積(CVD,chemical vapor deposition)係利用氣體成分的反應或分解來在基板表面形成固體膜。此外,原子層沉積(ALD,Atomic layer deposition)可說是CVD的一種,但其本質上具有形成共形(Conformal)膜的特徵。
為了提高半導體裝置的集成度、對其進行微型化,目前已開發出多種方法,但隨著對進一步的高集成度及微型化的要求,需要更加精密地對圖案進行控制。 [先前技術文獻] [專利文獻]
專利文獻1:美國專利第9716005號說明書 專利文獻2:美國專利申請公開第2017/117134號說明書
(發明所欲解決之課題)
本發明的目的在於提供一種能夠精密控制半導體基板的圖案之技術。 (用以解決課題之手段)
基於本發明的一種實施方式之基板處理裝置包括處理裝置及控制器。處理裝置具有腔室。控制器具有存儲器、以及與該存儲器連接的處理器。存儲器存儲用於對處理器進行控制而對基於處理裝置的處理進行控制的、可藉由計算機執行的命令。基於處理裝置進行的處理包括第一處理,藉由化學氣相沉積(CVD),在上述腔室內的基板的第一區域內形成第一膜。此外,基於處理裝置進行的處理包括第二處理,藉由原子層沉積(ALD),在腔室內的基板的第二區域內形成第二膜。此外,處理裝置不將基板從腔室移動至其外部而實施第一處理及第二處理。 (發明之功效)
根據本發明,能夠精密控制半導體基板的圖案。
以下結合附圖,對本發明的基板處理方法及基板處理裝置的一實施方式進行詳細的說明。此外,以下公開的作為示例的實施方式在任何方面均不構成對本發明的限定。
基板處理裝置之一例 圖1為表示本發明一實施方式的處理裝置10之概略結構的圖。處理裝置10為本發明一實施方式的基板處理裝置的一例。圖1所示的處理裝置10能夠用於實現本發明一實施方式的方法。圖1所示的處理裝置10為所謂的感應耦合電漿(Inductively-coupled plasma:ICP)裝置,具有用於生成感應耦合電漿的電漿源。不過,本發明一實施方式的基板處理裝置也可以利用藉由其他方法生成的電漿。例如,本發明一實施方式的基板處理裝置可以是利用:電容耦合電漿(capacitively coupled plasma: CCP)、ECR電漿(electron-cyclotron-resonance plasma)、螺旋波激發電漿(helicon wave excited plasma :HWP)、或者表面波電漿(surface wave plasma:SWP)等的裝置。
處理裝置10具有腔室12。腔室12由鋁等金屬形成。腔室12例如大致為圓筒形狀。在腔室12內設有用於實施處理的空間12c。
在空間12c的下方配置有平台14。平台14構成為保持載置於其上的工件W。工件W例如是藉由本發明一實施方式的方法進行處理的基板。
平台14可以由支承機構13支承。支承機構13在空間12c內從腔室12的底部向上方延伸。支承機構13可以呈大致圓筒形。支承機構13可以由石英等絕緣材料構成。
平台14包括靜電卡盤16及下部電極18。下部電極18包括第一板18a及第二板18b。第一板18a及第二板18b由鋁等金屬構成。第一板18a及第二板18b例如呈大致圓筒形。第二板18b配置於第一板18a之上。第二板18b與第一板18a電連接。
靜電卡盤16配置在第二板18b之上。靜電卡盤16包括絕緣層及配置於該絕緣層內的薄膜電極。直流電源22藉由開關23與靜電卡盤16的薄膜電極電連接。靜電卡盤16基於直流電源22的直流電壓生成靜電力。靜電卡盤16藉由生成的靜電力吸附並保持工件W。
處理裝置10動作時,聚焦環FR以包圍工件W及靜電卡盤16的外周的方式,配置於第二板18b之上且在第二板18b的周圍。聚焦環FR具有提高處理的均一性之作用。聚焦環FR例如由石英形成。
在第二板18b內形成有流路24。從配置於腔室12外部的溫度調節部(例如冷卻單元(chiller unit))向流路24供給用於溫度控制的冷媒等熱交換介質。溫度調節部調節熱交換介質的溫度。熱交換介質從溫度調節部通過管道26a供給至流路24。然後,從溫度調節部通過管道26a被供給至流路24的熱交換介質,通過管道26b被送回溫度調節部。熱交換介質在由溫度調節部進行溫度調節之後,返回平台14內的流路24。如此,能夠調節平台14的溫度即工件W的溫度。
處理裝置10還具有從平台14中穿過而延伸至靜電卡盤16之上表面的氣體供給管線28。從熱交換氣體供給機構,通過氣體供給管線28,氦氣(He)等熱交換氣體被供給至靜電卡盤16的上表面與工件W的下表面之間的空間中。如此,可促進平台14與工件W之間的熱交換。
此外,加熱器HT也可以配置於平台14內。加熱器HT為加熱裝置。加熱器HT例如嵌入第二板18b或靜電卡盤16內。加熱器HT與加熱器電源HP連接。加熱器電源HP向加熱器HT供給電力,由此調整平台14的溫度乃至工件W的溫度。
平台14的下部電極18藉由整合器32與高頻(RF)電源30連接。從RF電源30能夠向下部電極18供給RF電流。RF電源30生成RF電力,向載置於平台14上的工件W引入離子。即,RF電源30生成形成偏置電壓的RF電流。RF電源30生成的RF電流的頻率例如在400千赫至40.68百萬赫的範圍內。在一例中,RF電流的頻率為13.56百萬赫。
整合器32包含進行來自RF電源30的輸出阻抗與負載側即下部電極18側的阻抗之間的匹配的電路。處理裝置10能夠不使用用於生成電漿生成用的RF電流的附加的電漿源,而藉由向下部電極18供給RF電壓來生成電漿。
處理裝置10還具有可拆卸地安裝於腔室12之內壁的屏蔽體34。並且,屏蔽體34配置成包圍支承機構13的外周。屏蔽體34用於防止由處理生成的副產物附著於腔室12。屏蔽體34可以是由Y2 O3 等陶瓷塗佈的鋁構件。
在平台13與腔室12的側壁之間形成有排氣通路。排氣通路與形成於腔室12底部的排氣口12e連接。排氣口12e藉由管道36與排氣裝置38連接。排氣裝置38包括壓力調整部、以及渦輪分子泵(TMP)等真空泵。遮護板40配置於排氣通路內即平台14與腔室12的側壁之間。遮護板40具有在厚度方向上貫穿遮護板40的多個貫穿孔。遮護板40可以是由Y2 O3 等陶瓷塗佈表面的鋁構件。
在腔室12的上側形成有開口。開口由窗42封閉。窗42由石英等電介質形成。窗42例如是平板。
在腔室12的側壁形成有進氣口12i。進氣口12i藉由管道46與氣體供給部44連接。氣體供給部44將在處理中使用的各種氣體供給至空間12c。氣體供給部44包括:多個氣體源44a、多個流量控制器44b及多個閥44c。圖1中未明示,也可以按照供給的氣體設有不同的多個進氣口,以使氣體不混合。
多個氣體源44a包括後述的各種氣體的氣體源。一個氣體源也可以供給一種以上的氣體。多個流量控制器44b可以是質量流量控制器(MFC),流量控制器44b藉由壓力控制實現流量控制。多個氣體源44a中包含的各氣體源藉由多個流量控制器44b中對應的一個流量控制器及多個閥44c中對應的一個閥與進氣口12i連接。對進氣口12i的位置不做特別的限定。例如,進氣口12i可以不形成於腔室12的側壁而形成於窗42內。
在腔室12的側壁內形成有開口12p。開口12p作為從外部將工件W搬入腔室12的空間12c、以及從空間12c內將工件W向腔室12的外部搬出的、工件W的搬入搬出路徑。在腔室12的側壁上設有閘閥48,能夠使開口12p打開或封閉。
在腔室12及窗42之上,配置有天線50及屏蔽體60。天線50及屏蔽體60配置於腔室12的外側。在本發明一實施方式中,天線50包括內側天線元件52A及外側天線元件52B。內側天線元件52A為配置於窗42中央的螺旋線圈。外側天線元件52B為配置於窗42之上且在內側天線元件52A外周側的螺旋線圈。內側天線元件52A及外側天線元件52B分別由銅、鋁、不鏽鋼等導電性材料構成。
內側天線元件52A及外側天線元件52B由多個夾具54夾持而被整體保持。多個夾具54分別呈棒狀。多個夾具54從內側天線元件52A的大致中央向外側天線元件52B的外周側沿徑向延伸。
天線50由屏蔽體60覆蓋。屏蔽體60具有內側屏蔽體壁62A及外側屏蔽體壁62B。內側屏蔽體壁62A為圓筒形狀。內側屏蔽體壁62A配置於內側天線元件52A與外側天線元件52B之間,並包圍內側天線元件52A。外側屏蔽體壁62B為圓筒形狀。外側屏蔽體壁62B配置於外側天線元件52B的外側,並包圍外側天線元件52B。
在內側天線元件52A之上配置有圓盤狀的內側屏蔽板64A,覆蓋內側屏蔽體壁62A的開口。在外側天線元件52B之上配置有平板環形的外側屏蔽板64B,覆蓋內側屏蔽體壁62A與外側屏蔽體壁62B之間的開口。
屏蔽體60中包括的屏蔽體壁及屏蔽板的形狀並不受以上的記載所限定。例如,屏蔽體60的屏蔽體壁也可以是截面為四邊形的棱柱狀。
內側天線元件52A及外側天線元件52B與RF電源70A及RF電源70B分別連接。內側天線元件52A及外側天線元件52B分別從RF電源70A及RF電源70B接收相同或不同頻率的電力供給。RF電力從RF電源70A被供給至內側天線元件52A時,在空間12c內會產生感應磁場,激發空間12c內的氣體而使得在工件W的中心上方產生電漿。另一方面,RF電力從RF電源70B被供給至外側天線元件52B時,在空間12c內會產生感應磁場,激發空間12c內的氣體而使得在工件W的外周部上方產生環狀的電漿。
根據從RF電源70A及RF電源70B輸出的頻率,對內側天線元件52A及外側天線元件52B各自的電長度進行調整。由此,內側屏蔽板64A及外側屏蔽板64B的z軸方向的位置各自獨立地由致動器68A及68B調整。
處理裝置10還具有控制器80。控制器80可以是包括處理器、存儲器等存儲部、輸入部、顯示器等的計算裝置。控制器80基於存儲於存儲部的控制程式或配方數據(recipe data)而動作,來控制處理裝置10的各部分。例如,控制器80控制多個流量控制器44b、多個閥44c、排氣裝置38、RF電源70A、70B、RF電源30、整合器32、加熱器電源HP等。控制器80在實現本發明一實施方式的方法時,可以根據上述控制程式或配方數據來控制處理裝置10的各部分。
本發明一實施方式的處理的流程之一例 圖2為表示本發明一實施方式的處理方法之一例的流程圖。本發明一實施方式的處理裝置10對半導體基板等的工件W進行處理。
在步驟101中,首先準備用於本發明一實施方式的處理的基板。在本發明一實施方式中,基板被搬入腔室12內,可以載置並保持於靜電卡盤16上。並且,可以對基板實施預處理。例如,在基板表面的特性不均一時,可以實施用於均一化的處理。處理對象基板可以由二氧化矽(SiO2 )、氮化矽(SiN)或者鍺(Ge)等形成。不過,只要基板的表面為親水性,或者基板具有實施了親水化處理的表面即可,基板也可以含有其他的材料。基板可以具有通孔、溝槽、接觸孔等凹凸。基板的凹凸也可以是藉由蝕刻而形成的。基板可以是矽基板。在蝕刻中,可以使用HBr等鹵素氣體。蝕刻可以在與實施蝕刻後的處理例如後述的第一處理及第二處理的腔室相同的腔室內實施。不過,也可以在與實施第一處理及第二處理的腔室不同的腔室內實施蝕刻。
在步驟102中控制器80控制處理裝置10來實施第一處理。第一處理中,在基板上的第一區域形成第一膜。在本發明一實施方式中,第一處理為化學氣相沉積(CVD)處理。第一處理可以是電漿CVD。不過,第一處理也可以不使用電漿來實施。
在第一處理中,藉由氣體供給部44向腔室12導入第一氣體。控制器80控制RF電源30來將RF電力供給至下部電極18。由此,第一氣體被電漿化,在基板表面上形成第一膜。
第一氣體可以為含碳氣體。第一氣體例如可以是:氟碳氣體、氫氟碳氣體、碳氫氣體。例如可以使用CF4、C4F6、C4F8、CH2F2、CHF3、CH4等氣體。例如,PPFC(Plasma Polymerized Fluorocarbon,等離子聚合氟碳化合物)膜為疏水性,適於本發明一實施方式的第一膜。不過,只要能夠在基板的親水性表面上形成疏水性的膜,作為第一氣體可以利用任何氣體。並且,由第一氣體形成的疏水膜最好在後述第二處理中被去除。在第一處理中,第一氣體可以包含氮氣(N2)、氬氣(Ar)等惰性氣體作為載流氣體。
第一膜可以形成於基板的第一區域內。第一區域的位置可以根據基板上的凹凸來決定。此外,第一區域的位置也可以根據第一處理的種類來決定。例如,可以根據第一處理為各向異性CVD還是各向同性CVD來決定第一區域的位置。圖3A為作為本發明一實施方式的處理之對象的基板的概略圖。圖3A中,基板200具有溝槽201。溝槽201的開口的頂部與底部具有大致相同的大小。在對該基板200實施各向異性CVD時,如圖3A所示,使CFx等第一膜FF在區域202、203、204沉積。例如,圖3A中的第一膜FF形成於頂部202、204及底部203,但不形成在側壁205上。各向異性CVD時,成膜材料主要在一個方向上沉積。在圖3A的示例中,CFx在垂直方向上沉積,而在水平方向上不沉積。此外,垂直方向是指與基板200的表面正交的方向,水平方向是指與基板200的表面平行的方向。由此,第一膜FF不形成於側壁205上。然而,第一膜FF也可以相比於在頂部202、204及底部203上少量地,在側壁205上沉積。此外,第一膜FF也可以在底部203上的膜厚比在頂部202、204上薄。
另一方面,在各向同性CVD時,可以如圖4A所示地形成第一膜FF。在圖4A中,第一膜FF形成於頂部202、204及側壁205的上部,但不形成於底部203及側壁205的下部。第一膜FF的膜厚並非大致均一,而是以在溝槽201的上端隆起的方式沉積。藉此,第一區域的位置可以根據基板的凹凸而變化。
在步驟103中,控制器80控制處理裝置10來實施第二處理。第二處理在基板上的第二區域形成第二膜。在本發明一實施方式中,第二處理可以為原子層沉積(ALD)處理。在步驟103中,可以重複實施一次以上的ALD處理,直到第二膜SF的膜厚達到規定值。此外,在此設藉由一次ALD處理形成一原子層。較好的是,每實施一次第一處理,就在第二處理中實施一次以上的ALD處理。在第二處理的期間中第一膜FF被完全或部分去除後,可以再次實施第一處理即步驟102。較好的是,在第一膜FF被完全去除前,重複實施第一處理。
ALD處理包括吸附步驟及活性化步驟即改性步驟。在吸附步驟中,吸附於基板200的物質的前驅體被導入腔室12內。接著在活性化步驟中,在腔室12內生成改性氣體的電漿,對基板表面上的吸附層進行改性,由吸附於表面的前驅體形成第二膜。
在本發明一實施方式中,前驅體從可以吸附於羥基的材料中選出。例如可以是:前驅體為含矽的前驅體,改性氣體為O2 、CO、CO2 、NO、NO2 等含有氧元素的氣體。
在第一處理中,第一膜在基板的第一區域上沉積。由於第一膜具有疏水性表面,在第二處理中被導入的前驅體不會吸附於第一區域。並且,前驅體會吸附於基板200上的第一區域以外的區域。在接下來的改性步驟中,吸附的前驅體被改性而在第一區域以外的區域形成第二膜。第一區域以外的區域也稱為第二區域。
如圖3B的示例所示,對圖3A的基板200實施第二處理,則圖3B及圖4B所示的第二膜SF會在側壁205上形成。同時,頂部202、204及底部203上的第一膜FF在第二處理中會受電漿的影響而被去除。由此,第二膜SF僅在基板200的側壁205上形成。第一膜FF在第二處理後仍殘存於頂部202、204及底部203上時,還可以實施用於去除第一膜FF的其他處理。例如,在第二處理後,可以將基板200暴露於氬氣或氧氣的電漿中。
如圖4A所示地對基板200進行第二處理,則如圖4B所示,第二膜SF形成於底部203及側壁205的下部。由此,根據基板的凹凸及第一處理的種類(即,是各向異性CVD還是各向同性CVD),作為處理的結果得到的第二膜SF的形狀及位置會變化。如圖3C所示,在第一處理中實施各向異性CVD時,第一區域為頂部202、204及底部203,第二區域為側壁205。即,在第一處理為各向異性CVD時,第一區域為水平區域,第二區域為垂直區域。此外,在第一處理為各向異性CVD時,第一區域為在第一方向上延伸的表面,第二區域為在與第一方向不同的第二方向上延伸的表面。第一方向與第二方向所呈的角度可以為約90度。此外,也可以是:第一方向為與膜的沉積方向正交的方向,第二方向為與沉積方向平行的方向。另一方面,如圖4C所示,在第一處理為各向同性CVD時,第一區域為頂部202、204及側壁205的上部,第二區域為底部203及側壁205的下部。例如,在第一處理為各向同性CVD時,第一區域為比第二區域靠近頂部的區域,第二區域為比第一區域靠近底部的區域。第一區域為由第一處理形成膜的區域,第二區域為由第二處理形成膜的區域。第一區域及第二區域可以有部分重合。
如圖2所示,步驟102及步驟103後,在步驟104中對是否滿足規定的條件進行判定。規定的條件是指:對同一基板已實施的第一處理及第二處理的次數、或者在基板上沉積的第二膜SF的膜厚。此外,規定的條件也可以是在基板200的表面上殘存的第一膜FF的膜厚。
例如,可以預先設定在第二處理中實施的ALD處理的次數,在存儲部內將其存儲為控制程式。例如,可以計算出由一次第二處理形成的第二膜的膜厚,而設定為了使第二膜的膜厚達到期望值所需要的ALD處理的次數。並且,在步驟104中,可以判定對同一基板是否已實施規定次數的第二處理。
作為上述處理的代替,或者除上述處理之外,在步驟104中,可以對殘存於基板上的第一膜的膜厚是否達到了規定值例如“0”進行判定。在第二膜的膜厚尚未達到規定值而第一膜已從基板上被完全去除時,再次實施步驟102及步驟103。此外,也可以在步驟102之後實施其他的對規定的條件是否達成進行判定的追加步驟,來判定第一膜的膜厚是否達到了規定值。
步驟104中,控制器80可以基於存儲於存儲部的控制程式來進行實施。在步驟104中判定為滿足了規定的條件時(步驟104,是),處理結束。另一方面,在步驟104中判定為尚未滿足規定的條件時(步驟104,否),處理返回步驟102。例如,控制器80重複實施步驟102及步驟103,直到滿足規定的條件。控制程式也可以設定為:在步驟104中判定為尚未滿足規定的條件時,僅實施步驟102與步驟103中的任何一方。
在原位(In Situ)的動作 不將基板從腔室12取出而實施步驟102及步驟103。即,第一處理及第二處理不會破壞腔室12內的真空狀態而在原位(in situ)實施。本發明一實施方式的裝置例如處理裝置10具有氣體供給部44,氣體供給部44能夠向腔室12內供給各種氣體。此外,本發明一實施方式的裝置能夠不破壞腔室12內的真空狀態地實施第一處理及第二處理。此外,本發明一實施方式的裝置具有排氣通路、排氣口12e、排氣裝置3等排氣機構,能夠使不同種類的氣體不在腔室12內混合地實施吹除處理。因此,本發明一實施方式的裝置能夠在原位(in situ)、或者不破壞真空狀態地,實施步驟102及步驟103。
第一處理及第二處理中的電力控制 此外,本發明一實施方式的裝置可以根據處理過程改變電漿生成的狀態。例如,控制器80可以控制天線50及下部電極18,在第一處理期間中僅對下部電極18施加電壓,在第二處理期間中僅對天線50施加電壓。
控制器80在第一處理中使天線50及下部電極18雙方動作時,第一氣體可能會過度解離。此時,由第一氣體生成的自由基有可能會對基板造成損傷。因此在第一處理中,控制器80可以控制處理裝置10,對下部電極18供給電力而不對天線50供給電力。此外,在第一處理中,控制器80也可以控制處理裝置10,對下部電極18及天線50雙方供給電力。此時,控制器80將供給至天線50的電力控制在不會對基板造成損傷的程度。另一方面,在第二處理中,理想的是形成高品質的第二膜。因此,在第二處理中,最好生成高電子密度且是低電離能的電漿。因此,在第二處理中,控制器80可以控制處理裝置10,對天線50供給電力而不對下部電極18供給電力。此外,在第二處理中,控制器80也可以控制處理裝置10,對下部電極18及天線50雙方供給電力。此時,控制器80將供給至下部電極18的電力控制在可生成低電離能的電漿的較低水平。
例如,控制器80可以基於如圖5所示的時序,對處理裝置10的各部分進行控制。如圖5所示,第一處理(CVD處理)中,控制器80可以控制氣體供給部44,將第一氣體例如CFx氣體及氬氣供給至腔室12。同時,控制器80可以控制RF電源30,向下部電極18供給電力。第一處理中,控制器80不使(圖5中表示為“ICP天線”的)天線50動作。
進而,在第一處理後,開始第二處理的吸附步驟。控制器80控制氣體供給部44將含矽的前驅體(圖5中表示為“Si-前驅體”)等前驅體供給至腔室12內。在此期間中,控制器80也可以將氬氣等載流氣體供給至腔室12內。控制器80可以控制氣體供給部44,在基板處理期間中恆定地將規定流量的載流氣體供給至腔室12內。在此期間中,天線50及下部電極18不進行動作。含矽的前驅體被導入並吸附於基板後,控制器80對腔室12進行吹除,將不需要的氣體成分從腔室12排出。
接下來,控制器80開始活性化步驟即改性步驟,對吸附的前驅體進行改性。在活性化步驟中,控制器80控制氣體供給部44,將氧氣等改性氣體供給至腔室12內。同時,控制器80控制RF電源70A及70B,向天線50供給電力。在此期間中,控制器80不使下部電極18動作。然後,控制器80再次實施吹除處理。吸附步驟及活性化步驟後的吹除處理可以省略。並且,控制器80根據是否滿足規定的條件,重複第一處理及第二處理。進而,控制器80也可以對第一處理及第二處理中的任何一方單獨地重複實施。
蝕刻處理 在本發明一實施方式的裝置中,除了第一處理及第二處理,還可以在原位(in situ)實施其他的處理作為第三處理。例如,本發明一實施方式的裝置還可以實施蝕刻處理,由此能夠進一步提高生產率。蝕刻處理可以是原子層蝕刻(ALE,atomic layer etching)處理。
此外,ALE處理可以包含形成反應層的改性步驟、以及將改性後的反應層去除的去除步驟。ALE處理還可以包含分別設置於改性步驟及去除步驟之後的吹除步驟。改性步驟可以使用N(氮)電漿或者H(氫)電漿來實施。去除步驟可以使用F(氟)等鹵素電漿(成分)來實施。
在本發明一實施方式中,可以按照第一處理、第二處理及第三處理的順序對其重複實施。各處理的重複次數也可以彼此不同。例如,可以在實施一次第一處理後實施十次第二處理。此外,第一處理、第二處理、第三處理的實施順序也可以改變。
以上為了完整並且明確地公開,對具體實施方式進行了說明。但是,本發明申請專利範圍中所記載的請求項,不應根據上述公開對其進行限定性解釋,應理解為本領域技術人員所能想到的、並且屬於在本說明書中示出的基本的教導之範圍內的、所有的變形例及替代性的構成例均包含於其中。
10‧‧‧處理裝置 12‧‧‧腔室 12c‧‧‧空間 12e‧‧‧排氣口 12i‧‧‧進氣口 12p‧‧‧開口 13‧‧‧支承機構 14‧‧‧平台 16‧‧‧靜電卡盤 18‧‧‧下部電極 18a‧‧‧第一板 18b‧‧‧第二板 22‧‧‧直流電源 23‧‧‧開關 24‧‧‧流路 26a;26b‧‧‧管道 28‧‧‧氣體供給管線 30‧‧‧RF電源 32‧‧‧整合器 34‧‧‧屏蔽體 36‧‧‧管道 38‧‧‧排氣裝置 40‧‧‧遮護板 42‧‧‧窗 44‧‧‧氣體供給部 44a‧‧‧氣體源 44b‧‧‧流量控制器 44c‧‧‧閥 46‧‧‧管道 48‧‧‧閘閥 50‧‧‧天線 52A‧‧‧內側天線元件 52B‧‧‧外側天線元件 54‧‧‧夾具 60‧‧‧屏蔽體 62A‧‧‧內側屏蔽體壁 62B‧‧‧外側屏蔽體壁 64A‧‧‧內側屏蔽板 64B‧‧‧外側屏蔽板 68A;68B‧‧‧致動器 70A;70B‧‧‧RF電源 80‧‧‧控制器 101‧‧‧步驟 102‧‧‧步驟 103‧‧‧步驟 104‧‧‧步驟 200‧‧‧基板 201‧‧‧溝槽 202‧‧‧區域 203‧‧‧區域 204‧‧‧區域 205‧‧‧側壁 FR‧‧‧聚焦環(focus ring) HT‧‧‧加熱器 HP‧‧‧加熱器電源 W‧‧‧工件
圖1為本發明一實施方式的處理裝置的概略截面圖。 圖2為表示本發明一實施方式的處理方法之一例的流程圖。 圖3A為表示本發明一實施方式中被處理的基板之一例的圖。 圖3B為表示本發明一實施方式中被處理的基板之一例的圖。 圖3C為表示本發明一實施方式中被處理的基板之一例的圖。 圖4A為表示本發明一實施方式中被處理的基板之另一例的圖。 圖4B為表示本發明一實施方式中被處理的基板之另一例的圖。 圖4C為表示本發明一實施方式中被處理的基板之另一例的圖。 圖5為表示本發明一實施方式的處理之流程的時序圖。
101‧‧‧步驟
102‧‧‧步驟
103‧‧‧步驟
104‧‧‧步驟

Claims (17)

  1. 一種基板處理裝置,係包括:處理裝置,係具有腔室;以及控制器,係具有存儲器、以及與該存儲器連接的處理器,其中前述存儲器存儲用於對前述處理器進行控制而對基於前述處理裝置實施的處理進行控制的、可藉由計算機執行的命令,該處理包括:第一處理,係藉由化學氣相沉積,在前述腔室內的基板的第一區域內形成第一膜;以及第二處理,係藉由將吸附於前述基板的物質的前驅體導入前述腔室內,將改性氣體供給至前述腔室內,而由前述改性氣體生成電漿,以在前述腔室內的前述基板的第二區域內形成第二膜;前述基板處理裝置不將前述基板從前述腔室移動至其外部而實施前述第一處理及前述第二處理;且前述第一處理中,係在前述基板的凹凸的頂部及底部形成前述第一膜;前述第二處理中,係在前述基板的凹凸的側壁形成前述第二膜。
  2. 一種基板處理裝置,係包括: 處理裝置,係具有腔室;以及控制器,係具有存儲器、以及與該存儲器連接的處理器,其中,前述存儲器存儲用於對前述處理器進行控制而對基於前述處理裝置實施的處理進行控制的、可藉由計算機執行的命令,該處理包括:第一處理,係藉由化學氣相沉積,在前述腔室內的基板的第一區域內形成第一膜;以及第二處理,係藉由將吸附於前述基板的物質的前驅體導入前述腔室內,將改性氣體供給至前述腔室內,而由前述改性氣體生成電漿,以在前述腔室內的前述基板的第二區域內形成第二膜;前述基板處理裝置不將前述基板從前述腔室移動至其外部而實施前述第一處理及前述第二處理;且前述基板處理裝置係還包括:天線,係由前述控制器控制;以及下部電極,係與前述天線相對配置,構成為將前述基板保持於其上,該下部電極由前述控制器控制,前述處理還包括:在前述化學氣相沉積中,向前述下部電極施加電壓;以及在由前述改性氣體生成電漿的步驟中,向前述天線施加電壓。
  3. 如請求項2之基板處理裝置,其中前述第一處理中,係藉由對向前述下部電極施加的電壓進行控制,來實施各向異性化學氣相沉積及各向同性化學氣相沉積中的任一種。
  4. 一種基板處理裝置,係包括:處理裝置,係具有腔室;以及控制器,係具有存儲器、以及與該存儲器連接的處理器,其中前述存儲器存儲用於對前述處理器進行控制而對基於前述處理裝置實施的處理進行控制的、可藉由計算機執行的命令,該處理包括:第一處理,係藉由化學氣相沉積,在前述腔室內的基板的第一區域內形成第一膜;以及第二處理,係藉由將吸附於前述基板的物質的前驅體導入前述腔室內,將改性氣體供給至前述腔室內,而由前述改性氣體生成電漿,以在前述腔室內的前述基板的第二區域內形成第二膜;前述基板處理裝置不將前述基板從前述腔室移動至其外部而實施前述第一處理及前述第二處理;且前述基板處理裝置係還包括:天線,係配置於前述腔室之上,由前述控制器控制;以及下部電極,係與前述天線相對配置,構成為將前述基板保持 於其上,該下部電極由前述控制器控制,前述處理還包括:在前述化學氣相沉積中,不向前述天線施加電壓,向前述下部電極施加電壓;以及在由前述改性氣體生成電漿的步驟中,不向前述下部電極施加電壓,向前述天線施加電壓。
  5. 一種基板處理裝置,係包括:處理裝置,係具有腔室;以及控制器,係具有存儲器、以及與該存儲器連接的處理器,其中前述存儲器存儲用於對前述處理器進行控制而對基於前述處理裝置實施的處理進行控制的、可藉由計算機執行的命令,該處理包括:第一處理,係藉由化學氣相沉積,在前述腔室內的基板的第一區域內形成第一膜;以及第二處理,係藉由將吸附於前述基板的物質的前驅體導入前述腔室內,將改性氣體供給至前述腔室內,而由前述改性氣體生成電漿,以在前述腔室內的前述基板的第二區域內形成第二膜;前述基板處理裝置不將前述基板從前述腔室移動至其外部而實施前述第一處理及前述第二處理;且前述第一處理中,係在前述基板的親水性表面的第一區域 上形成前述第一膜作為疏水性表面;前述第二處理中,係在前述基板的前述親水性表面的前述第二區域上,藉由吸附與羥基發生反應的前驅體來形成前述第二膜。
  6. 如請求項1、2、4、5中的任一項之基板處理裝置,其中前述第二處理中,係從前述基板去除前述第一膜中的至少一部分。
  7. 如請求項1、2、4、5中的任一項之基板處理裝置,其中前述第一處理中,係藉由氟碳電漿沉積前述第一膜。
  8. 如請求項2、4、5中的任一項之基板處理裝置,其中前述第一處理中,係在前述基板的凹凸的頂部及底部形成前述第一膜;前述第二處理中,係在前述基板的凹凸的側壁形成前述第二膜。
  9. 如請求項1、2、4、5中的任一項之基板處理裝置,其中前述基板係含有SiO2、SiN、Si及Ge中的至少一種,前述第二處理中,係形成含矽的膜作為前述第二膜。
  10. 如請求項1、2、4、5中的任一項之基板處理裝置,其中 係按照前述第一處理及前述第二處理的順序來重複實施。
  11. 如請求項1、2、4、5中的任一項之基板處理裝置,其中係使用感應耦合電漿或者電容耦合電漿來實施前述第一處理及前述第二處理。
  12. 一種基板處理裝置,係包括:處理裝置,係具有腔室;以及控制器,係具有存儲器、以及與該存儲器連接的處理器,其中前述存儲器存儲用於對前述處理器進行控制而對處理進行控制的、可藉由計算機執行的命令,該處理包括:第一處理,係藉由化學氣相沉積,在前述腔室內的基板的第一區域內形成第一膜;第二處理,係藉由將吸附於前述基板的物質的前驅體導入前述腔室內,將改性氣體供給至前述腔室內,而由前述改性氣體生成電漿,以在前述腔室內的前述基板的第二區域內形成第二膜;以及第三處理,係對前述基板進行蝕刻;前述基板處理裝置不將前述基板移動至前述腔室的外部而實施前述第一處理、前述第二處理及前述第三處理;且前述第一處理中,係在前述基板的凹凸的頂部及底部形成前述第一膜; 前述第二處理中,係在前述基板的凹凸的側壁形成前述第二膜。
  13. 一種基板處理裝置,係包括:處理裝置,係具有腔室;以及控制器,係具有存儲器、以及與該存儲器連接的處理器,其中前述存儲器存儲用於對前述處理器進行控制而對處理進行控制的、可藉由計算機執行的命令,該處理包括:第一處理,係藉由化學氣相沉積,在前述腔室內的基板的第一區域內形成第一膜;第二處理,係藉由將吸附於前述基板的物質的前驅體導入前述腔室內,將改性氣體供給至前述腔室內,而由前述改性氣體生成電漿,以在前述腔室內的前述基板的第二區域內形成第二膜;以及第三處理,係對前述基板進行蝕刻;前述基板處理裝置不將前述基板移動至前述腔室的外部而實施前述第一處理、前述第二處理及前述第三處理;且前述第三處理係還包括:藉由N電漿及H電漿中的一種對前述基板的表面進行改性;以及藉由鹵素氣體將被前述N電漿及H電漿中的一種改性後的前述表面去除。
  14. 一種基板處理方法,係包括:第一處理,係藉由化學氣相沉積,在處理裝置的腔室內的基板的第一區域內形成第一膜;以及第二處理,係藉由將吸附於前述基板的物質的前驅體導入前述腔室內,將改性氣體供給至前述腔室內,而由前述改性氣體生成電漿,以在前述腔室內的前述基板的第二區域內形成第二膜,其中不將前述基板移動至前述腔室的外部而實施前述第一處理及前述第二處理;且前述第一處理中,係在前述基板的凹凸的頂部及底部形成前述第一膜;前述第二處理中,係在前述基板的凹凸的側壁形成前述第二膜。
  15. 如請求項14之基板處理方法,係還包括:第三處理,係對前述基板進行蝕刻,其中不將前述基板移動至前述腔室的外部而實施前述第一處理、前述第二處理及前述第三處理。
  16. 一種基板處理方法,係包括:第一處理,係藉由化學氣相沉積,在處理裝置的腔室內的基板的第一區域內形成第一膜; 第二處理,係藉由將吸附於前述基板的物質的前驅體導入前述腔室內,將改性氣體供給至前述腔室內,而由前述改性氣體生成電漿,以在前述腔室內的前述基板的第二區域內形成第二膜;以及第三處理,係對前述基板進行蝕刻,其中不將前述基板移動至前述腔室的外部而實施前述第一處理、前述第二處理及前述第三處理;且前述第三處理係還包括:藉由N電漿及H電漿中的一種對前述基板的表面進行改性;以及藉由鹵素氣體將被前述N電漿及H電漿中的一種改性後的前述表面去除。
  17. 一種基板處理裝置,係包括:處理裝置,係具有腔室;控制器,係具有存儲器、以及與該存儲器連接的處理器;以及下部電極,係構成為將前述基板保持於其上,該下部電極由前述控制器控制;其中前述存儲器存儲用於對前述處理器進行控制而對基於前述處理裝置實施的處理進行控制的、可藉由計算機執行的命令,該處理包括:第一處理,係藉由化學氣相沉積,在前述腔室內的基板的 第一區域內形成第一膜;以及第二處理,係藉由將吸附於前述基板的物質的前驅體導入前述腔室內,將改性氣體供給至前述腔室內,而由前述改性氣體生成電漿,以在前述腔室內的前述基板的第二區域內形成第二膜;前述基板處理裝置不將前述基板從前述腔室移動至其外部而實施前述第一處理及前述第二處理;且前述第一處理中,係在前述基板的凹凸的頂部及底部形成前述第一膜;前述第二處理中,係在前述基板的凹凸的側壁形成前述第二膜;前述第一處理中,係藉由對向前述下部電極施加的電壓進行控制,來實施各向異性化學氣相沉積及各向同性化學氣相沉積中的任一種。
TW108121136A 2018-06-18 2019-06-18 基板處理方法及基板處理裝置 TWI807049B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US16/010,800 2018-06-18
US16/010,800 US10781519B2 (en) 2018-06-18 2018-06-18 Method and apparatus for processing substrate

Publications (2)

Publication Number Publication Date
TW202002014A TW202002014A (zh) 2020-01-01
TWI807049B true TWI807049B (zh) 2023-07-01

Family

ID=68839187

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108121136A TWI807049B (zh) 2018-06-18 2019-06-18 基板處理方法及基板處理裝置

Country Status (5)

Country Link
US (2) US10781519B2 (zh)
JP (1) JP7323330B2 (zh)
KR (1) KR20190142741A (zh)
CN (1) CN110616416A (zh)
TW (1) TWI807049B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20200130497A (ko) * 2018-04-09 2020-11-18 도쿄엘렉트론가부시키가이샤 저 커패시턴스 상호연결을 위한 에어 갭을 가진 반도체 디바이스를 형성하는 방법
US10896823B2 (en) * 2018-11-21 2021-01-19 Thomas E. Seidel Limited dose atomic layer processes for localizing coatings on non-planar surfaces
JP7285152B2 (ja) * 2019-07-08 2023-06-01 東京エレクトロン株式会社 プラズマ処理装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180061628A1 (en) * 2016-08-31 2018-03-01 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer

Family Cites Families (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020004309A1 (en) * 1990-07-31 2002-01-10 Kenneth S. Collins Processes used in an inductively coupled plasma reactor
DE19651029C2 (de) * 1996-12-09 1999-12-02 Ibm Kalibrierstandard für Profilometer und Herstellverfahren
US6794290B1 (en) * 2001-12-03 2004-09-21 Novellus Systems, Inc. Method of chemical modification of structure topography
US6852996B2 (en) * 2002-09-25 2005-02-08 Stmicroelectronics, Inc. Organic semiconductor sensor device
US7323231B2 (en) * 2003-10-09 2008-01-29 Micron Technology, Inc. Apparatus and methods for plasma vapor deposition processes
US7476621B1 (en) * 2003-12-10 2009-01-13 Novellus Systems, Inc. Halogen-free noble gas assisted H2 plasma etch process in deposition-etch-deposition gap fill
US8974868B2 (en) * 2005-03-21 2015-03-10 Tokyo Electron Limited Post deposition plasma cleaning system and method
US7341959B2 (en) * 2005-03-21 2008-03-11 Tokyo Electron Limited Plasma enhanced atomic layer deposition system and method
US8815014B2 (en) * 2005-11-18 2014-08-26 Tokyo Electron Limited Method and system for performing different deposition processes within a single chamber
US7649239B2 (en) * 2006-05-04 2010-01-19 Intel Corporation Dielectric spacers for metal interconnects and method to form the same
US20070298583A1 (en) * 2006-06-27 2007-12-27 Macronix International Co., Ltd. Method for forming a shallow trench isolation region
JP4916257B2 (ja) * 2006-09-06 2012-04-11 東京エレクトロン株式会社 酸化膜の形成方法、酸化膜の形成装置及びプログラム
WO2008153674A1 (en) * 2007-06-09 2008-12-18 Boris Kobrin Method and apparatus for anisotropic etching
US8129288B2 (en) * 2008-05-02 2012-03-06 Intermolecular, Inc. Combinatorial plasma enhanced deposition techniques
US7816278B2 (en) * 2008-03-28 2010-10-19 Tokyo Electron Limited In-situ hybrid deposition of high dielectric constant films using atomic layer deposition and chemical vapor deposition
JP5439771B2 (ja) * 2008-09-05 2014-03-12 東京エレクトロン株式会社 成膜装置
US8039966B2 (en) * 2009-09-03 2011-10-18 International Business Machines Corporation Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects
US9257274B2 (en) * 2010-04-15 2016-02-09 Lam Research Corporation Gapfill of variable aspect ratio features with a composite PEALD and PECVD method
US9564312B2 (en) * 2014-11-24 2017-02-07 Lam Research Corporation Selective inhibition in atomic layer deposition of silicon-containing films
CN106148918B (zh) * 2015-03-26 2018-08-07 理想晶延半导体设备(上海)有限公司 半导体处理设备
US9716005B1 (en) 2016-03-18 2017-07-25 Applied Materials, Inc. Plasma poisoning to enable selective deposition
JP6559107B2 (ja) * 2016-09-09 2019-08-14 東京エレクトロン株式会社 成膜方法および成膜システム
KR102112705B1 (ko) * 2016-12-09 2020-05-21 주식회사 원익아이피에스 박막 증착 방법
TWI754041B (zh) * 2017-04-18 2022-02-01 日商東京威力科創股份有限公司 被處理體之處理方法
KR20190042461A (ko) * 2017-10-14 2019-04-24 어플라이드 머티어리얼스, 인코포레이티드 Beol 인터커넥트를 위한 고온 pvd 구리 증착을 이용한 ald 구리의 집적
US10515815B2 (en) * 2017-11-21 2019-12-24 Lam Research Corporation Atomic layer deposition and etch in a single plasma chamber for fin field effect transistor formation

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180061628A1 (en) * 2016-08-31 2018-03-01 Lam Research Corporation Selective atomic layer deposition for gapfill using sacrificial underlayer

Also Published As

Publication number Publication date
KR20190142741A (ko) 2019-12-27
JP7323330B2 (ja) 2023-08-08
US10781519B2 (en) 2020-09-22
CN110616416A (zh) 2019-12-27
JP2019220681A (ja) 2019-12-26
US20190382897A1 (en) 2019-12-19
US20210025060A1 (en) 2021-01-28
TW202002014A (zh) 2020-01-01

Similar Documents

Publication Publication Date Title
US20190074191A1 (en) Etching method and workpiece processing method
JP6035117B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
US11380551B2 (en) Method of processing target object
JP6298391B2 (ja) プラズマ処理方法及びプラズマ処理装置
TWI807049B (zh) 基板處理方法及基板處理裝置
KR102617192B1 (ko) 질화 실리콘으로 형성된 제 1 영역을 산화 실리콘으로 형성된 제 2 영역에 대하여 선택적으로 에칭하는 방법
KR20180018416A (ko) 피처리체를 처리하는 방법
US20210202233A1 (en) Substrate processing method and substrate processing apparatus
KR102661835B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
US11594418B2 (en) Etching method and etching apparatus
WO2019117130A1 (ja) プラズマエッチング方法及びプラズマエッチング装置
JP7357528B2 (ja) エッチング方法及びエッチング装置
US10755944B2 (en) Etching method and plasma processing apparatus
US20210327719A1 (en) Method for processing workpiece
US7883631B2 (en) Plasma etching method, plasma etching apparatus, control program and computer-readable storage medium
JP2019009403A (ja) プラズマ処理方法およびプラズマ処理装置
TWI757483B (zh) 蝕刻方法
JP2022116742A (ja) 基板処理方法および基板処理装置
WO2022196369A1 (ja) 基板処理方法および基板処理装置
US20070102399A1 (en) Method and apparatus for manufacturing a semiconductor device, control program and computer-readable storage medium
TWI822918B (zh) 電漿處理方法及電漿處理裝置
US20070218691A1 (en) Plasma etching method, plasma etching apparatus and computer-readable storage medium
JP7236315B2 (ja) 処理方法およびプラズマ処理装置
US20070218698A1 (en) Plasma etching method, plasma etching apparatus, and computer-readable storage medium
KR20220035853A (ko) 에칭 처리 방법 및 기판 처리 장치