TWI802950B - 半導體裝置的形成方法 - Google Patents

半導體裝置的形成方法 Download PDF

Info

Publication number
TWI802950B
TWI802950B TW110129195A TW110129195A TWI802950B TW I802950 B TWI802950 B TW I802950B TW 110129195 A TW110129195 A TW 110129195A TW 110129195 A TW110129195 A TW 110129195A TW I802950 B TWI802950 B TW I802950B
Authority
TW
Taiwan
Prior art keywords
semiconductor layer
nanostructure
gate
layer
region
Prior art date
Application number
TW110129195A
Other languages
English (en)
Other versions
TW202234468A (zh
Inventor
沙哈吉B 摩爾
李承翰
張世杰
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202234468A publication Critical patent/TW202234468A/zh
Application granted granted Critical
Publication of TWI802950B publication Critical patent/TWI802950B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02603Nanowires
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • H01L29/0653Dielectric regions, e.g. SiO2 regions, air gaps adjoining the input or output region of a field-effect device, e.g. the source or drain region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42372Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out
    • H01L29/42376Gate electrodes for field effect devices for field-effect transistors with insulated gate characterised by the conducting layer, e.g. the length, the sectional shape or the lay-out characterised by the length or the sectional shape
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66553Unipolar field-effect transistors with an insulated gate, i.e. MISFET using inside spacers, permanent or not
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/107Substrate region of field-effect devices
    • H01L29/1075Substrate region of field-effect devices of field-effect transistors
    • H01L29/1079Substrate region of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Thin Film Transistor (AREA)
  • Weting (AREA)

Abstract

揭露一種裝置,其包含:具有第一閘極長度的第一閘極區;位於第一閘極區的側壁上的第一間隙壁;位於第一閘極區上的半導體層;位於半導體層上的第二閘極區,其中第二閘極區具有等於第一閘極長度的第二閘極長度;以及位於第二閘極區的側壁的第二間隙壁,其中第一間隙壁比第二間隙壁寬。

Description

半導體裝置的形成方法
本揭露是關於一種半導體裝置與其形成方法,特別是關於一種包含有閘極結構之半導體裝置與其形成方法。
半導體裝置被使用於各種電子應用中,例如個人電腦、手機、數位相機和其他電子設備。半導體裝置的製作典型地係透過依序沉積絕緣或介電層、導電層和半導體材料層於半導體基材上,並使用微影法圖案化此些各種材料層來形成電路組件和元件於其上。
半導體產業藉由最小特徵尺寸的持續減少來不斷改善各種電子組件(例如:電晶體、二極體、電阻、電容等)的積成密度,其讓更多的組件整合在一定的面積中。然而,當最小特徵尺寸的縮減時,應解決所產生的額外問題。
本揭露之一態樣係提供一種半導體裝置,其包含:具有第一閘極長度的第一閘極區;位於第一閘極區的側壁上的第一間隙壁;位於第一閘極區上的半導體層;位於半 導體層上的第二閘極區,其中第二閘極區具有等於第一閘極長度的第二閘極長度;以及位於第二閘極區的側壁上的第二間隙壁,其中第一間隙壁比第二間隙壁寬。
本揭露之又一態樣係提供一種電晶體,其包含:第一奈米結構;位於第一奈米結構上的第二奈米結構,其中第一奈米結構比第二奈米結構寬;環繞第一奈米結構和第二奈米結構的閘極結構,其中閘極結構包含:位於第一奈米結構上的第一區;以及位於第二奈米結構上的第二區,其中第二區具有與第一區相同的閘極長度。。
本揭露之又一態樣係提供一種半導體裝置的形成方法,其包含:沉積第一半導體層於基材上;沉積第二半導體層於第一半導體層上;沉積第三半導體層於第二半導體層上,其中第一半導體層的鍺濃度大於第三半導體層;蝕刻第一開口穿過第一半導體層、第二半導體層和第三半導體層,第一半導體層具有與第三半導體層相同的寬度;形成源極/汲極區於開口中;以及以閘極結構置換第一半導體層和第三半導體層,其中閘極結構係設置環繞第二半導體層。
20:分隔線
50:基材
50N:n型區
50P:p型區
51:第一半導體層
51A,51B,51C,51D:第一半導體層
51’:頂面/底面
51”:水平
52:第一奈米結構
52A,52B,52C:第一奈米結構
53A,53B,53C,53D:第二半導體層
54:第二奈米結構
54A,54B,54C:第二奈米結構
55:奈米結構
57:含第III族摻質區
64:多層堆疊
66:鰭片
68:隔離區
70:虛設介電層
71:虛設閘極介電層
72:虛設閘極層
74:罩幕層
76:虛設閘極
78:罩幕
80:第一間隙壁層
81:第一間隙壁
82:第二間隙壁層
83:第二間隙壁
86:第一凹陷
88:側壁凹陷
90:內間隙壁
92:磊晶源極/汲極區
92A:第一半導體材料層
92B:第二半導體材料層
92C:第三半導體材料層
94:接觸蝕刻終止層
96:第一層間介電層
98:第二凹陷
100:閘極介電層
100A:界面氧化層
100B:高介電常數材料層
102:閘極電極
104:閘極罩幕
106:第二層間介電層
108:第三凹陷
110:矽化區
111:區域
112:接觸
114:接觸
A-A’:剖面
B-B’:剖面
C-C’:剖面
P1,P2,P3:第III族元素摻質濃度
W1,W2,W3:寬度
根據以下詳細說明並配合附圖閱讀,使本揭露的態樣獲致較佳的理解。需注意的是,如同業界的標準作法,許多特徵並不是按照比例繪示的。事實上,為了進行清楚討論,許多特徵的尺寸可以經過任意縮放。
第1圖係繪示根據一些實施例在一三維視圖中的奈米結構場效電晶體(nano-FET)的一個例子。
第2A圖、第2B圖、第2C圖、第2D圖、第2E圖、第3圖、第4圖、第5圖、第6A圖、第6B圖、第7A圖、第7B圖、第8A圖、第8B圖、第9A圖、第9B圖、第10A圖、第10B圖、第10C圖、第10D圖、第11A圖、第11B圖、第12A圖、第12B圖、第12C圖、第13A圖、第13B圖、第13C圖、第14A圖、第14B圖、第15A圖、第15B圖、第16A圖、第16B圖、第17A圖、第17B圖、第17C圖、第17D圖、第17E圖、第17F圖、第17G圖、第17H圖、第18A圖、第18B圖、第18C圖、第19A圖、第19B圖、第19C圖、第20A圖、第20B圖和第20C圖係繪示根據一些實施例在電晶體製造中之中間階段的剖面視圖。
以下揭露提供許多不同實施例或例示,以實施發明的不同特徵。以下敘述之成份和排列方式的特定例示是為了簡化本揭露。這些當然僅是做為例示,其目的不在構成限制。舉例而言,第一特徵形成在第二特徵之上或上方的描述包含第一特徵和第二特徵有直接接觸的實施例,也包含有其他特徵形成在第一特徵和第二特徵之間,以致第一特徵和第二特徵沒有直接接觸的實施例。除此之外,本揭露在各種具體例中重覆參考數值及/或字母。此重覆的目的 是為了使說明簡化且清晰,並不表示各種討論的實施例及/或配置之間有關係。
再者,空間相對性用語,例如「下方(beneath)」、「在…之下(below)」、「低於(lower)」、「在…之上(above)」、「高於(upper)」等,是為了易於描述圖式中所繪示的元素或特徵和其他元素或特徵的關係。空間相對性用語除了圖式中所描繪的方向外,還包含元件在使用或操作時的不同方向。裝置可以其他方式定向(旋轉90度或在其他方向),而本文所用的空間相對性描述也可以如此解讀。
在此所述之各種實施例提供奈米結構場效電晶體(nano-FET;例如:奈米線場效電晶體、奈米片場效電晶體、閘極全環繞式(GAA)或其類似物)。每一個奈米結構場效電晶體可包含環繞多個通道區之堆疊的多個閘極區,此些通道區具有相對一致的閘極長度。可藉由例如置換設置在半導體奈米片間的多個犧牲奈米片來達成一致長度的閘極區,此些半導體奈米片後續提供在完成之電晶體中的通道區。此些犧牲奈米片可被沉積具有變化的鍺濃度,以致於每一個犧牲奈米片可被蝕刻不同的數量,以補償用以圖案化犧牲奈米片的非均勻和非等向性的蝕刻製程。結果是,可更精準地控制犧牲奈米片(和所造成之閘極區)的輪廓,以提供改善的均勻度。可藉由以第III族元素(如硼或類似物)摻雜犧牲奈米片來避免缺陷(如去除犧牲奈米片後的矽鍺殘留物)。
第1圖係繪示根據一些實施例在一三維視圖中的奈米結構場效電晶體(nano-FET)的一個例子。此奈米結構場效電晶體包含奈米結構55(例如奈米片、奈米線、或類似物)位於基材上的鰭片66上,其中奈米結構55做為奈米結構場效電晶體的通道區。奈米結構55可包含p型奈米結構、n型奈米結構55、或其組合。隔離區68係設置在相鄰的鰭片66之間,鰭片66可自相鄰的隔離區68突出於其上方。雖然如在所使用,隔離區68係被敘述或繪示為與基材50分開,但用語「基材」可指為單獨的半導體基材或半導體基材與隔離區的結合。此外,雖然鰭片66的底部分係被繪示為與基材50相連續的單一材料,但鰭片66的底部分和/或基材50可包含單一材料或複數個材料。在此內容中,鰭片66可指為相鄰的隔離區68間延伸的部分。
閘極介電層100係位於鰭片66的頂面上並沿著奈米結構55的頂面、側壁和底面。閘極電極102係位於閘極介電層100上。磊晶源極/汲極區92係設置在閘極介電層100和閘極電極102之相對側面上的鰭片66上。
第1圖更繪示將於後面之圖式使用的參考剖面。剖面A-A’係沿者閘極電極102的縱軸並在例如垂直於奈米結構場效電晶體之磊晶源極/汲極區92間的電流方向的方向中。剖面B-B’係垂直於剖面A-A’並以平行於奈米結構場效電晶體之鰭片66的縱軸,且在例如奈米結構場效電晶體之磊晶源極/汲極區92間的電流方向中。剖面C-C’ 係平行於剖面A-A’並延伸穿過奈米結構場效電晶體之磊晶源極/汲極區。後續的圖式參考這些參考剖面,以清楚說明。
在此所討論的一些實施例係在使用閘極後製製程(Gate-Last Process)所形成之奈米結構場效電晶體(nanoFETs)的內容中討論。在其他實施例中,使用閘極先製製程(Gate-First Process)。一些實施例亦考慮到用於平面裝置的態樣,如平面場效電晶體或鰭式場效電晶體(FinFETs)。
第2A圖至第20C圖係繪示根據一些實施例之奈米結構場效電晶體製造中之中間階段的剖面圖。第2A圖至第5圖、第6A圖、第13A圖、第14A圖、第15A圖、第16A圖、第17A圖、第18A圖、第19A圖和第20A圖係繪示第1圖所示之參考剖面A-A’。第6B圖、第7B圖、第8B圖、第9B圖、第10B圖、第10C圖、第10D圖、第11B圖、第12B圖、第13B圖、第14B圖、第15B圖、第16B圖、第17B圖、第17C圖、第17D圖、第17E圖、第17F圖、第17G圖、第17H圖、第18B圖、第19B圖和第20B圖係繪示第1圖所示之參考剖面B-B’。第7A圖、第8A圖、第9A圖、第10A圖、第11A圖、第12A圖、第12C圖、第13C圖、第18C圖、第19C圖和第20C圖係繪示第1圖所示之參考剖面C-C’。
在第2A圖與第2B圖中,提供基材50。基材50 可為半導體基材,如塊體半導體(Bulk Semiconductor)、絕緣層上半導體(SOI)基材或類似物,其可被例如p型或n型摻質摻雜或未摻雜。基材50可為晶圓,如矽晶圓。通常,SOI基材為絕緣層上形成一層半導體材料。此絕緣層可為例如埋入氧化層(BOX)、氧化矽層或類似物。絕緣層係被提供在基材上,典型地為矽或玻璃基材。亦可使用其他材料,例如多層或梯度(Gradient)基材。在一些實施例中,基材50的半導體材料可包含矽、鍺、化合物半導體、合金半導體、或前述的組合,其中此化合物半導體包含碳化矽、砷化鎵、磷化鎵、磷化銦、砷化銦及/或銻化銦,而此合金半導體包含SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP及/或GanAsP。
基材50具有n型區50N和p型區50P。n型區50N能被用以形成n型裝置,如NMOS電晶體,例如n型奈米結構場效電晶體;p型區50P能被用以形成p型裝置,如PMOS電晶體,例如p型奈米結構場效電晶體。n型區50N可與p型區50P實體分開(如分隔線20所示),且任何數目的裝置特徵(如其他主動裝置、摻雜區、隔離結構等)亦可被設置在n型區50N和p型區50P之間。雖然僅繪示一個n型區50N和一個p型區50P,但任何數目的n型區50N和p型區50P亦可被提供。
又,在第2A圖和第2B圖中,形成多層堆疊64在基材50上。多層堆疊64包含第一半導體層51A-51C(統稱為第一半導體層51)和第二半導體層 53A-53C(統稱為第二半導體層53)的交錯層。為了說明的目的並如以下較詳細的討論,將移除第二半導體層53並圖案化第一半導體層51以形成奈米結構場效電晶體的通道區於p型區50P中。亦將移除第一半導體層51並圖案化第二半導體層53以形成奈米結構場效電晶體的通道區於n型區50N中。儘管如此,在一些實施例中,可移除第一半導體層51並圖案化第二半導體層53以形成奈米結構場效電晶體的通道區於n型區50N中,並可移除第二半導體層53並圖案化第一半導體層51以形成奈米結構場效電晶體的通道區於p型區50P中。在還有其他實施例中,可移除第一半導體層51並圖案化第二半導體層53以形成奈米結構場效電晶體的通道區於n型區50N和p型區50P中。在其他實施例中,可移除第二半導體層53並圖案化第一半導體層51以形成奈米結構場效電晶體的通道區於n型區50N和p型區50P中。
在第2A圖和第2B圖中,僅為了說明的目的,多層堆疊64係繪示為包含三層第一半導體層51和三層第二半導體層53。在一些實施例中,多層堆疊64可包含任何數目的第一半導體層51和第二半導體層53,例如四層第一半導體層51和四層第二半導體層53,如第2B圖所示。可使用如化學氣相沉積(CVD)、原子層沉積(ALD)、氣相磊晶(VPE)、分子束磊晶(MPE)或類似方法的製程來磊晶成長每一層多層堆疊64。在一些實施例中,可在單一腔室中進行沉積多層堆疊64,而不會破壞真空。再者,沉 積多層堆疊64可為等溫或混合製程。當沉積第一半導體層51和第二半導體層53時,製程溫度可在約550℃至約700℃的範圍中,壓力可在1Torr(托爾)至50Torr的的範圍中。在一些實施例中,第一半導體層51和/或第二半導體層53其中每一者的相對厚度可為相同的。
在各種實施例中,第一半導體層51可由第一半導體材料所形成,如矽鍺、或類似物;第二半導體層53可由第二半導體材料所形成,如矽、矽碳、或類似物。在這些實施例中,在第一半導體層51的沉積期間所流通的前驅物可包含鍺烷(GeH4)、氯化氫(HCl)、其組合、或其類似物。第一半導體層51和第二半導體層53可為彼此具有高蝕刻選擇性的材料。因此,可移除第一半導體材料的第一半導體層51而不會顯著地移除第二半導體材料的第二半導體層53,藉以讓第二半導體層53被圖案化形成奈米結構場效電晶體(NSFET)的通道區。
在一些實施例中,改變每一個第一半導體層51的鍺濃度,以改變每一個第一半導體層51的蝕刻靈敏度。第一半導體層51中的鍺濃度可朝基材50的方向增加。結果是,在後續的蝕刻製程期間(例如第10A圖和第10B圖所述),較下方的第一半導體層51可被較快速地蝕刻,其補償蝕刻製程的不均勻蝕刻特性。例如:在第2A圖和第2B圖中,第一半導體層51A具有大於第一半導體層51B的鍺濃度,而第一半導體層51B具有大於第一半導體層51C的鍺濃度。在第2B圖中,第一半導體層51C可更具有大 於第一半導體層51D的鍺濃度。在一些實施例中,第一半導體層51A的鍺濃度可在25%至45%的範圍中;第一半導體層51B的鍺濃度可在20%至40%的範圍中;第一半導體層51C的鍺濃度可在15%至35%的範圍中;以及第一半導體層51D的鍺濃度可在10%至30%的範圍中。已被觀察到的是,當第一半導體層51中的鍺濃度落入上述之範圍中時,可在後續製程中形成相對均勻的閘極結構。亦可能使用其他鍺濃度。
在一些實施例中,可對每一個第一半導體層51進行原位(in situ)摻雜,以摻雜一第III族元素至第一半導體層51。例如:可藉由在第一半導體層51的沉積期間通入含前驅物的硼,來摻雜硼(例如二硼烷(B2H6)、或類似物)至第一半導體層51。亦可能使用其他第III族摻質。
在在後續的蝕刻製程期間(例如第10A圖和第10B圖所述),摻雜第III族元素至第一半導體層51可有利於強化對蝕刻的易感性(Susceptibility),並減少缺陷(如第一半導體層51之不想要的殘留物)。第一半導體層51A、51B、51C、51D可具有變化的第III族元素摻質濃度。例如:第III族元素的濃度可在此些第一半導體層51中朝基材50的方向中增加。例如:在第2A圖和第2B圖中,第一半導體層51A具有大於第一半導體層51B的第III族元素摻質濃度,而第一半導體層51B具有大於第一半導體層51C的第III族元素摻質濃度。在第2B圖中,第一半導體層51C可更具有大於第一半導體層51D的第 III族元素摻質濃度。在一些實施例中,第一半導體層51A的第III族元素摻質濃度可在5×1019原子數/立方公分至5×1020原子數/立方公分的範圍中;第一半導體層51B的第III族元素摻質濃度可在1×1019原子數/立方公分至8×1019原子數/立方公分的範圍中;第一半導體層51C的第III族元素摻質濃度可在5×1018原子數/立方公分至3×1019原子數/立方公分的範圍中;以及第一半導體層51D的第III族元素摻質濃度可在1×1018原子數/立方公分至8×1018原子數/立方公分的範圍中。已被觀察到的是,當第一半導體層51中的第III族元素摻質濃度落入上述之範圍中時,當蝕刻第一半導體層51時可有益地減少製造缺陷。其他實施例亦可包含其他濃度的第III族摻質。
再者,每一個第一半導體層51可具有一致的第III族元素摻質濃度或變化的第III族元素摻質濃度。例如:第2C圖、第2D圖和第2E圖繪示根據一些另外實施例之第一半導體層51中的第III族元素摻質濃度。第2C圖、第2D圖和第2E圖所示之摻質濃度可應用至如上所述之任何第一半導體層51(例如:第一半導體層51A、51B、51C、和或51D)。在第2C圖中,第一半導體層51的第III族元素摻質濃度係介於P1與P2之間,其中P1為第一半導體層51的最小摻質濃度,而P2為第一半導體層51的最大摻質濃度。在一些實施例中,最小摻質濃度為0%。第一半導體層51的含第III族摻質區57可具有例如約1nm至約5nm範圍的厚度。在第2C圖的實施例中,第一半導 體層51在其頂面/底面51’具有最大第III族元素摻質濃度,而第一半導體層51的第III族元素摻質濃度為朝第一半導體層51之中心減少的一梯度。
第2D圖繪示類似於第2C圖的配置,除了在第2D圖中的第一半導體層51具有最大第III族元素摻質濃度P3,其中P3小於在第2C圖中之第一半導體層51的最大第III族元素摻質濃度P2。類似於第2C圖的實施例,第一半導體層51的最小第III族元素摻質濃度為P1,而第一半導體層51的含第III族摻質區57可具有例如約1nm至約5nm範圍的厚度。類似於第2C圖,在第2D圖中,第一半導體層51在其頂面/底面51’具有最大第III族元素摻質濃度,而第一半導體層51的第III族元素摻質濃度為朝第一半導體層51之中心減少的一梯度。
在第2E圖中,第一半導體層51的第III族元素摻質濃度係介於P1與P2之間,其中P1為第一半導體層51的最小摻質濃度,而P2為第一半導體層51的最大摻質濃度。在一些實施例中,最小摻質濃度為0%。第一半導體層51的含第III族摻質區57可具有例如約1nm至約5nm範圍的厚度。在第2E圖的實施例中,第一半導體層51在其內部的水平51”上具有最大第III族元素摻質濃度。第一半導體層51的第III族元素摻質濃度為在遠離水平51”的方向中減少的一梯度。如將於後續說明,第一半導體層51中的第III族元素的摻質濃度可被用以決定在所造成的電晶體中之所造成的閘極區和內側壁間隙壁的形狀。 又,可藉由在第一半導體層51的沉積期間改變含第III族元素摻質的前驅物的濃度和/或流速,來達成不同的摻質濃度輪廓於第一半導體層51中。
現請參照第3圖,根據一些實施例,鰭片66係形成於基材50中,而奈米結構55係形成於多層堆疊64中。在一些實施例中,可藉由蝕刻在多層堆疊64和基材50中之溝渠來分別形成奈米結構55C和鰭片66於多層堆疊64和基材50中。此蝕刻可為任何可接受的蝕刻製程,例如反應離子蝕刻(RIE)、中性粒子束蝕刻(NBE)、類似製程、或其組合。此蝕刻可為非等向性的。藉由蝕刻多層堆疊64來形成奈米結構55可自第一半導體層51定義出第一奈米結構52A-52C(統稱為第一奈米結構52),並可自第二半導體層53定義出第二奈米結構54A-54C(統稱為第二奈米結構54)。第一奈米結構52和第二奈米結構54可被統稱為奈米結構55。雖然第3圖繪示基於第2A圖之實施例的進一步處理,此處理亦可應用至第2B圖之實施例。
可藉由任何合適的方法來圖案化鰭片66和奈米結構55。例如:可藉由一或多種光學微影製程來圖案化鰭片66和奈米結構55,其包含雙重圖案化或多重圖案化製程。通常,雙重圖案化或多重圖案化製程結合光學微影製程和自對準製程,而讓圖案被製成具有例如小於其他使用單一直接光學微影製程所可獲得的間距。例如:在一實施例中,形成犧牲層在基材上,並使用光學微影製程圖案化此犧牲層。使用自對準製程形成間隙壁在被圖案化的犧牲層旁邊。 然後,去除犧牲層,而其餘的間隙壁可被用來圖案化鰭片66。
為了繪示說明的目的,第3圖繪示在n型區50N和p型區50P中的鰭片66為具有實質相等的寬度。在一實施例中,在n型區50N之鰭片66的寬度可大於或小於在p型區50P之鰭片66的寬度。再者,雖然每一個鰭片66和奈米結構55被繪示為從頭到尾具有一致的寬度,但在其他實施例中,奈米結構55可具有錐形側壁,以致每一個奈米結構55的寬度在朝基材50的方向中一直增加。在此些實施例中,每一個奈米結構55可具不同寬度,並為梯形。
在第4圖中,淺溝渠隔離(STI)區68係形成於鄰近鰭片66。可藉由沉積絕緣材料在基材50、鰭片66和奈米結構55上並在相鄰的鰭片66之間,來形成淺溝渠隔離區68。此絕緣材料可為氧化物(如氧化矽)、氮化物、類似物或其組合,並被高密度電漿CVD(HDP-CVD)、流動性化學氣相沉積(FCVD)、類似方法或其組合所形成。可使用由任何可接受製程所形成的其他絕緣材料。在所繪示的實施例中,絕緣材料為由FCVD製程所形成的氧化矽。一旦形成絕緣材料,可進行退火製程。在一實施例中,絕緣材料係被形成,以致於多餘的絕緣材料覆蓋奈米結構55。雖然絕緣材料係被繪示為單一層,但一些實施例可使多層。例如:在一些實施例中,可先沿著基材50、鰭片66和奈米結構55的表面形成一襯墊層(未分開繪示)。然後,可形 成如上所述之填充材料在襯墊層上。
接著,應用去除製程至絕緣材料,以去除奈米結構55上多餘的絕緣材料。在一些實施例中,可使用如化學機械研磨(CMP)的平坦化製程、回蝕製程、其組合或類似物。此平坦化製程暴露出奈米結構55,以致於在平坦化製程完成後,奈米結構55和絕緣材料的頂表面是平整的。
然後,凹陷絕緣材料以形成淺溝渠隔離區68。絕緣材料被凹陷以致於在n型區50N和p型區50P中之鰭片66的上部分自相鄰之淺溝渠隔離區68間突出。再者,淺溝渠隔離區68的頂表面可具有所示的平整面、凸面或凹面(如碟狀)、或其組合。可藉由適當的蝕刻將淺溝渠隔離區68的頂表面形成為平的、凸的和/或凹的。可使用可接受的蝕刻製程來凹陷淺溝渠隔離區68,例如對隔離材料具選擇性的蝕刻製程(例如:蝕刻隔離材料的速率大於蝕刻鰭片66和奈米結構55的速率)。例如:使用例如稀釋氫氟酸(DHF)的氧化物去除。
以上針對第2A圖至第4圖所討論之製程僅係一種如何形成鰭片66和奈米結構55的方法。在一些實施例中,可使用一罩幕和一磊晶製程來形成鰭片66和奈米結構55。例如:可形成介電層於基材50的頂面上,並蝕刻溝渠穿過介電層以暴露出下方的基材50。可磊晶成長磊晶結構於溝渠中,並可凹陷介電層,以致於磊晶結構自介電層中突出,而形成鰭片66和奈米結構55。磊晶結構可包含以上所討論的交替的半導體材料,如第一半導體材料和第二半導體 材料。在其中磊晶成長磊晶結構的一些實施例中,磊晶成長的材料可在成長期間被原位(in situ)摻雜,其可排除先前和/或後續的植入,雖然原位或植入摻雜可被一起使用。
額外地,僅為了繪示說明的目的,第一半導體層51(和所造成的第一奈米結構52)和第二半導體層53(和所造成的第二奈米結構54)在此被闡述和討論為包含相同材料於p型區50P和n型區50N中。因此,在一些實施例中,第一半導體層51和第二半導體層53其中一或二者可為不同材料或以不同次序形成於p型區50P和n型區50N中。
再者,在第4圖中,可形成合適的複數個井(未分開繪示)於鰭片66、奈米結構55(未分開標示於後面的圖式中)和/或淺溝渠隔離區68中。在具有不同井型式之實施例中,可使用光阻或其他罩幕(未分開繪示)來達成對p型區50P和n型區50N不同的植入步驟。例如:可形成光阻於p型區和n型區中之鰭片66和淺溝渠隔離區68上。圖案化光阻以曓露出p型區50P。可使用一或多個旋轉(spin-on)或沉積技術來形成光阻,並使用可接受的光學微影技術來圖案化光阻。一旦光阻被圖案化,進行n型雜質植入於p型區50P中,而光阻可被用為罩幕以實質避免n型雜質被植入於n型區50N中。n型雜質可為磷、砷、銻或類似物,其植入在區域中至在約1013原子數/立方公分至約1014原子數/立方公分範圍中的濃度。在植入後,例如藉由可接受的灰化製程來去除光阻。
在p型區50P的植入之前或之後,形成光阻或其他罩幕(未分開繪示)於p型區和n型區中之鰭片66和淺溝渠隔離區68上。圖案化光阻以曓露出n型區50N。可使用一或多個旋轉或沉積技術來形成光阻,並使用可接受的光學微影技術來圖案化光阻。一旦光阻被圖案化,進行p型雜質植入於n型區50N中,而光阻可被用為罩幕以實質避免p型雜質被植入於p型區50P。p型雜質可為硼、氟化硼、銦或類似物,其植入在區域中至在約1013原子數/立方公分至約1014原子數/立方公分範圍中的濃度。在植入後,例如藉由可接受的灰化製程來去除光阻。
在p型區50P和n型區50N的植入後,可進行退火以修復植入損壞並活化所植入之p型和/或n型雜質。在一些實施例中,在成長時磊晶鰭狀物的成長材料可被原位摻雜,其可排除植入,雖然原位或植入摻雜可被一起使用。
在第5圖中,形成虛設介電層70於鰭片66和/或奈米結構55上。虛設介電層70可為例如氧化矽、氮化矽、其組合或類似物,並可根據可接受的技術而被沉積或熱成長。形成虛設閘極層72於虛設介電層70上,並形成罩幕層74於虛設閘極層72上。虛設閘極層72可被沉積於虛設介電層70上,然後被例如CMP平坦化。罩幕層74可被沉積於虛設閘極層72上。虛設閘極層72可為導電或非導電材料,並可選自包含非晶矽、多晶矽、多晶矽鍺、金屬氮化物、金屬矽化物、金屬氧化物和金屬的族群。 可藉由物理氣相沉積(PVD)、CVD、濺鍍沉積、或用以沉積被選擇之材料的其他技術來沉積虛設閘極層72。虛設閘極層72可由具有相對隔離區蝕刻之高蝕刻選擇性的其他材料所製成。罩幕層74可包含例如氮化矽、氮氧化矽、或類似物。在此例子中,形成單層的虛設閘極層72和單層的罩幕層74跨越n型區50N和p型區50P。可注意的是,僅為了繪示說明的目的,虛設介電層70被繪示為只覆蓋奈米結構55。在一些實施例中,可沉積虛設介電層70,以致虛設介電層70覆蓋鰭片66和基材50,以使虛設介電層70延伸在虛設閘極層72與淺溝渠隔離區68之間。
第6A圖至第20C圖係繪示在實施例裝置之製造中的各種額外步驟。第6A圖、第6B圖、第7A圖、第7B圖、第8A圖、第8B圖、第9A圖、第9B圖、第10A圖、第10B圖、第10C圖、第10D圖、第11A圖、第11B圖、第12A圖、第12B圖、第12C圖、第13A圖、第13B圖、第13C圖、第14A圖、第14B圖、第15A圖、第15B圖、第16A圖、第16B圖、第17A圖、第17B圖、第17C圖、第17D圖、第17E圖、第17F圖、第17G圖、第17H圖、第18A圖、第18B圖、第18C圖、第19A圖、第19B圖、第19C圖、第20A圖第20B圖、和第20C圖係繪示n型區50N或p型區50P中的特徵。在第6A圖和第6B圖中,可使用可接受的光學微影和蝕刻技術來圖案化罩幕層74(請參照第5圖),以形成罩幕78。然後,罩幕78的圖案可被轉移至虛設閘極層72 並至虛設介電層70,以分別形成虛設閘極76和虛設閘極介電層71。虛設閘極76覆蓋鰭片66的各自通道區。罩幕78的圖案可被用以自相鄰的虛設閘極76中實體分開每一個虛設閘極76。虛設閘極76亦可具有實質垂直於各自之鰭片66之縱長方向的一縱長方向。
在第7A圖和第7B圖中,分別形成第一間隙壁層80和第二間隙壁層82在第6A圖和第6B圖所示的結構上。第一間隙壁層80和第二間隙壁層82後續地將被圖案化以做為用以形成自對準之源極/汲極區的間隙壁。在第7A圖和第7B圖中,第一間隙壁層80係形成在淺溝渠隔離區68的頂面上、鰭片66與奈米結構55和罩幕78的頂面和側壁上、以及虛設閘極介電層71和虛設閘極76的側壁上。第二間隙壁層82係被沉積在第一間隙壁層80上。可使用藉由熱氧化或CVD、ALD的沉積或類似方法所形成的氧化矽、氮化矽、氮氧化矽或類似物,來形成此第一間隙壁層80。第二間隙壁層82係由具有與第一間隙壁層80材料不同之蝕刻速率的材料所形成,例如氧化矽、氮化矽、氮氧化矽或類似物,其可被CVD、ALD或類似方法所沉積。
在第一間隙壁層80形成後並在第二間隙壁層82形成前,可進行形成輕摻雜源極/汲極(LDD)區(未分開繪示)的植入。在具有不同裝置型式的實施例中,類似於以上在第4圖中所討論的植入,在暴露出p型區P時可形成罩幕(如光阻)在n型區50N上,並植入適當型式(例如p型) 之雜質至p型區50P中被暴露出的鰭片66和奈米結構55中。然後可去除此罩幕。後續地,在暴露出n型區50N時可形成罩幕(如光阻)在p型區50P上,並植入適當型式(例如n型)之雜質至n型區50N中被暴露出的鰭片66和奈米結構55中。然後可去除此罩幕。n型雜質可為前述之任何n型雜質,而p型雜質可為前述之任何p型雜質。輕摻雜源極/汲極(LDD)區可具有在約1015原子數/立方公分至約1019原子數/立方公分範圍中的雜質濃度。可使用退火來修復植入損害並活化被植入的雜質。
在第8A圖和第8B圖中,蝕刻第一間隙壁層80和第二間隙壁層82,以形成第一間隙壁81和第二間隙壁83。如將在以下被更詳細的討論,第一間隙壁81和第二間隙壁83用以自對準後續形成之源極/汲極區,並保護在後續處理期間之鰭片66和奈米結構55的側壁。可使用合適的蝕刻製程來蝕刻第一間隙壁層80和第二間隙壁層82,如等向性蝕刻製程(例如濕式蝕刻製程)、非等向性蝕刻製程(例如乾式蝕刻製程)或類似製程。在一些實施例中,第二間隙壁層82的材料具有與第一間隙壁層80的材料不同的蝕刻速率,以使第一間隙壁層80在圖案化第二間隙壁層82時可做為蝕刻終止層,並使第二間隙壁層82在圖案化第一間隙壁層80時可做為蝕刻終止層。例如:可使用非等向性蝕刻製程來蝕刻第二間隙壁層82,其中第一間隙壁層80做為蝕刻終止層,而第二間隙壁層82的其餘部分形成如第8A圖所示之第二間隙壁83。然後,在蝕刻第一間隙 壁層80的暴露部分時,第二間隙壁83做為罩幕,藉以形成如第8A圖所示之第一間隙壁81。
如第8A圖所示,第一間隙壁81和第二間隙壁83被設置在鰭片66和/或奈米結構55的側壁上。如第8B圖所示,在一些實施例中,可自鄰近罩幕78、虛設閘極76和虛設閘極介電層71的第一間隙壁層80上去除第二間隙壁層82,而第一間隙壁81係設置在罩幕78、虛設閘極76和虛設介電層70的側壁上。第二間隙壁層82的一部分可保留在鄰近罩幕78、虛設閘極76和虛設閘極介電層71的第一間隙壁層80上。
可注意的是,以上的揭露概述形成間隙壁和LDD區的製程。其他製程和順序可被使用。例如:可使用較少或額外的間隙壁;可使用不同順序的步驟(例如:在沉積第二間隙壁層82前可圖案化第一間隙壁81);可形成並去除額外的間隙壁;和/或類似步驟。再者,可使用不同結構和步驟來形成n型和p型裝置。
在第9A圖和第9B圖中,根據一些實施例,形成第一凹陷86在鰭片66、奈米結構55和基材50中。磊晶源極/汲極區將被後續地形成在第一凹陷86中。第一凹陷86可延伸穿越第一奈米結構52和第二奈米結構54並進入至基材50中。如第9A圖所示,淺溝渠隔離區68的頂表面可與第一凹陷86的底表面齊平。在各種實施例中,鰭片66可被蝕刻,以使第一凹陷86的底表面設置在淺溝渠隔離區68的頂表面下方;或其他類似情形。
可使用非等向性蝕刻(如RIE、NBE或類似方法)來蝕刻鰭片66、奈米結構55和基材50,以形成第一凹陷86。在用以形成第一凹陷86的蝕刻製程期間,第一間隙壁81、第二間隙壁83和罩幕78覆罩著部分之鰭片66、奈米結構55和基材50。可使用單一蝕刻製程或多重蝕刻製程來蝕刻每一層奈米結構55和/或鰭片66。可使用定時(Timed)蝕刻製程來在第一凹陷86到達一所欲之深度後停止第一凹陷86的蝕刻。由於非等向性蝕刻之不均勻的本性,第一凹陷86的寬度可能會在朝基材50的方向中增加。結果是,每一個奈米結構55可具有錐形側壁,其寬度並在朝基材50的方向中增加。例如:奈米結構52A的寬度大於奈米結構52B的寬度,而奈米結構52B的寬度大於奈米結構52C的寬度。又,奈米結構54A的寬度大於奈米結構54B的寬度,而奈米結構54B的寬度大於奈米結構54C的寬度。
在第10A圖和第10B圖中,蝕刻被第一凹陷86所暴露出之由第一半導體材料(例如第一奈米結構52)所形成之多層堆疊64之複數層的部分側壁,以形成多個側壁凹陷88於n型區50N和於p型區50P中。可使用等向性蝕刻製程(例如濕式蝕刻製程或類似製程)來蝕刻此些側壁。在其中第一奈米結構52包含例如矽鍺和第二奈米結構54包含例如矽或碳化矽的實施例中,可使用一乾式(例如使用四氟化碳、氦或類似物的電漿蝕刻)和濕式(氫氟酸、輕氯酸、硫酸-過氧化氫混合物(SPM)和/或類似物的濕式清洗) 的循環式蝕刻製程,來蝕刻第一奈米結構52的側壁。由於每一個第一奈米結構52的不同材料組成,較下方的第一半導體層51被相同的蝕刻製程蝕刻的速率大於較上方的第一半導體層51。結果是,凹陷88的尺寸在朝基材50的方向中增加。在各種實施例中,鍺和/或第III族元素摻質(如存在的話)的加入增加第一奈米結構52相對第二奈米結構54的蝕刻選擇性。結果是,在凹陷後,每一個第一奈米結構52具有相對一致的寬度W1,而可減少由先前蝕刻製程(例如:在第9A圖和第9B圖中)來的奈米結構52的寬度不同。可在每一個第一奈米結構52的相對側壁間並在一剖面中測量寬度W1,此剖面延伸穿過鰭片55並垂直於虛設閘極76的縱長尺寸。
例如:如上所述,奈米結構52A的鍺和/或第III族元素摻質濃度大於奈米結構52B的鍺和/或第III族元素摻質濃度,而奈米結構52B的鍺和/或第III族元素摻質濃度大於奈米結構52C的鍺和/或第III族元素摻質濃度。當蝕刻凹陷88時,較高的鍺和/或第III族元素摻質濃度可產生較高的蝕刻速率。因此,當圖案化凹陷88時,奈米結構52A比奈米結構52B被蝕刻多。據此,藉由調整每一個奈米結構52的鍺和/或第III族元素摻質的相對濃度,在蝕刻凹陷88後每一個奈米結構52的寬度W1是相對一致的。據此,可補償凹陷88的不均勻蝕刻,而置換奈米結構52之所造成的閘極結構可為相對一致的閘極長度(例如:在對應之源極/汲極間之閘極的距離可對應至寬 度W1並在所造成的結構中是相對一致的)。
雖然第一奈米結構52的側壁在第10B圖中係被繪示為凹入的,但此些側壁可為筆直或突出的。藉由調整奈米結構52的第III族元素摻質的濃度分佈形狀(如上述,其係自第2A圖與第2B圖中之第一半導體層51被圖案化),可形成不同的第一奈米結構52側壁輪廓。例如:藉由具有如第2C圖所示之第III族元素摻質濃度可達成第10B圖中之第一奈米結構52的凹入側壁。如又一例子,藉由具有如第2D圖所示之第III族元素摻質濃度可達成第10C圖中之第一奈米結構52的筆直側壁。又,藉由具有如第2E圖所示之第III族元素摻質濃度可達成第10D圖中之第一奈米結構52的突出側壁。可藉由調整每一個奈米結構52的鍺濃度,來調整每一個凹陷88延伸超過第二奈米結構54的的距離。第III族元素摻質的加入可減少或消除從凹陷88中之第一奈米結構52所遺留的殘留物。因此,可減少缺陷,並可改善裝置的性能。
在第11A圖至第11B圖中,形成多個第一內間隙壁90在此些側壁凹陷88中。可藉由沉積一內間隙壁層(未分開繪示)在第10A圖和第10B圖所示之結構上,來形成此些第一內間隙壁90。此些第一內間隙壁90係做為後續形成之源極/汲極區與閘極區間的隔離特徵。如將在以下被更詳細的討論,源極/汲極區將被形成在凹陷86中,而第一奈米結構52將被對應的閘極結構所置換。
可藉由共形沉積製程來沉積此內間隙壁層,例如 CVD、ALD或類似製程。內間隙壁層可包含如氮化矽或氮氧化矽之材料,雖然亦可使用其他合適材料,如具有介電常數小於約3.5的低介電常數材料。然後,此內間隙壁層可被非等向性的蝕刻,以形成第一內間隙壁90。雖然第一內間隙壁90的外側壁係被繪示為與第二奈米結構54的側壁齊平,但第一內間隙壁90的外側壁亦可分別延伸超過第二奈米結構54的側壁,或自第二奈米結構54的側壁凹入(請參照例如第10A圖、第10B圖、第10C圖)。
此外,第一內間隙壁90的寬度可在朝基材50的方向中增加。例如:最頂端之第一內間隙壁90的寬度W2可在約1nm至約3nm的範圍中。可觀察到的是,當第一內間隙壁90的最小寬度小於上述範圍時,會造成滲漏。又,最底端之第一內間隙壁90的寬度W3可在約2nm至約5nm的範圍中。在各種實施例中,最頂端之第一內間隙壁90的寬度W2為此些之第一內間隙壁90的最小寬度,而最底端之第一內間隙壁90的寬度W3為此些之第一內間隙壁90的最大寬度。寬度W2與寬度W3間的差異可在約1nm至約4nm的範圍中,而寬度W2對寬度W3的比值可在約0.1至約1的範圍中。可在每一個內間隙壁90的相對側壁間並在一剖面中測量寬度W2與寬度W3,此剖面延伸穿過鰭片55並垂直於虛設閘極76的縱長尺寸。
在第12A圖至第12C圖中,形成磊晶源極/汲極區92在第一凹陷86中。在一些實施例中,磊晶源極/汲極區92可施加應力至第二奈米結構54上,藉以改善性能。 如第12B圖所繪示,磊晶源極/汲極區92係形成在第一凹陷86中,以致於每一個虛設閘極76係設置在各自相鄰對之磊晶源極/汲極區92間。在一些實施例中,第一間隙壁81係用以將磊晶源極/汲極區92與虛設閘極76和分開,而第一內間隙壁90係用以將磊晶源極/汲極區92與奈米結構55分開一適當的側向距離,以使磊晶源極/汲極區92不會與所造成的奈米結構場效電晶體之後續形成的閘極短路。
可藉由罩幕住p型區50P(例如PMOS區)來形成n型區50N(例如NMOS區)中的磊晶源極/汲極區92。然後,磊晶源極/汲極區92被磊晶成長在n型區50N的第一凹陷86中。磊晶源極/汲極區92可包含適用於n型奈米結構場效電晶體的任何可接受的材料。例如:若第二奈米結構54為矽,則磊晶源極/汲極區92可包含施加拉伸應變在第二奈米結構54的材料,例如矽、碳化矽、摻雜磷的碳化矽、磷化矽或類似物。磊晶源極/汲極區92可具有自奈米結構55之各上表面突起的表面,並可具有刻面(Facets)。
可藉由罩幕住n型區50N(例如NMOS區)來形成p型區50P(例如PMOS區)中的磊晶源極/汲極區92。然後,磊晶源極/汲極區92被磊晶成長在p型區50P的第一凹陷86中。磊晶源極/汲極區92可包含適用於p型奈米結構場效電晶體的任何可接受的材料。例如:若第一奈米結構52為矽鍺,則磊晶源極/汲極區92可包含施加壓縮 應變在第一奈米結構52的材料,例如矽鍺、鍺、鍺錫或類似物。磊晶源極/汲極區92可具有自多層堆疊64之各表面突起的表面,並可具有刻面。
類似於先前所討論之用以形成輕摻雜源極/汲極區的製程,可將摻劑植入至磊晶源極/汲極區92、第一奈米結構52、第二奈米結構54和/或基材50中,以形成源極/汲極區,接著並進行退火的製程。源極/汲極區可具有在約1019原子數/立方公分與約1021原子數/立方公分間的雜質濃度。源極/汲極區的n型雜質和/或p型雜質可為前述之任何雜質。在一些實施例中,磊晶源極/汲極區92可在成長期間被原位(in situ)摻雜。
用以形成磊晶源極/汲極區92於n型區50N和p型區50P中之磊晶製程的結果是,磊晶源極/汲極區92的上表面具有多個刻面,此些刻面橫向向外擴張至超過奈米結構55的側壁。在一些實施例中,這些刻面導致同一n型奈米結構場效電晶體(NSFET)之相鄰的磊晶源極/汲極區92合併,如第12A圖所示。在其他實施例中,在完成磊晶製程後,相鄰的磊晶源極/汲極區92保持分開,如第12C圖所示。在繪示於第12A圖和第12C圖的實施例中,可形成第一間隙壁81至淺溝渠隔離區68的頂表面上,藉以阻擋磊晶成長。在一些其他實施例中,可調整用以形成第一間隙壁81的間隙壁蝕刻,以去除間隙壁材料,來讓磊晶成長區延伸至淺溝渠隔離區68的表面。
磊晶源極/汲極區92可包含一或多個半導體材料 層。例如:磊晶源極/汲極區92可包含第一半導體材料層92A、第二半導體材料層92B和第三半導體材料層92C。可使用任何數目的半導體材料層來形成磊晶源極/汲極區92。第一半導體材料層92A、第二半導體材料層92B和第三半導體材料層92C其中每一者可被不同的半導體材料所形成,並可被摻雜至不同的摻雜濃度。在一些實施例中,第一半導體材料層92A可具有小於第二半導體材料層92B並大於第三半導體材料層92C的摻雜濃度。在其中磊晶源極/汲極區92包含三個半導體材料層的實施例中,可沉積第一半導體材料層92A,並可沉積第二半導體材料層92B在第一半導體材料層92A上,且可沉積第三半導體材料層92C在第二半導體材料層92B上。
在第13A圖至第13C圖中,沉積第一層間介電層(ILD)96在第6A圖、12A和第12B圖所示之結構上(第7A圖至第12C圖所示之製程不會改變第6A圖所示之剖面)。第一層間介電層96可由介電材料所形成,並可被任何合適的方法所沉積,例如CVD、電漿加強CVD(PECVD)或FCVD。介電材料可包含摻雜磷的矽玻璃(PSG)、摻雜硼的矽玻璃(BSG)、摻雜硼磷的矽玻璃(BPSG)、未摻雜的矽玻璃(USG)或類似物。可使用由任何可接受製程所形成的其他絕緣材料。在一些實施例中,接觸蝕刻終止層(CESL)94係設置在第一層間介電層96與磊晶源極/汲極區92、罩幕78及第一間隙壁81之間。接觸蝕刻終止層(CESL)94包含具有與其上之第一層間介電層96之材料 不同蝕刻速率的介電材料,如氮化矽、氧化矽、氮氧化矽或類似物。
在第14A圖與第14B圖中,可進行平坦化製程(如CMP)以使第一層間介電層96的頂面與虛設閘極76或罩幕78的頂面齊平。平坦化製程亦可去除在虛設閘極76上的罩幕78和沿著罩幕78之側壁的部分第一間隙壁81。在平坦化製程後,虛設閘極76、第一間隙壁81和第一層間介電層96的頂面係相互齊平在製程變異之內。據此,虛設閘極76的頂面係透過第一層間介電層96被暴露出來。在一些實施例中,可保留罩幕78,在這種情況下,平坦化製程使第一層間介電層96的頂面與罩幕78和第一間隙壁81的頂面齊平。
在第15A圖和第15B圖中,虛設閘極76和罩幕78(若還存在的話),將在一或多個蝕刻步驟中被去除,以形成第二凹陷98。在第二凹陷98中的部分虛設閘極介電層71亦被去除。在一些實施例中,以非等向性的乾式蝕刻製程來去除虛設閘極76和虛設閘極介電層71。例如:此蝕刻製程可包含使用反應氣體的乾式蝕刻製程,此反應氣體選擇性的去除虛設閘極76的速率快於去除第一層間介電層96或第一間隙壁81。每一個第二凹陷98暴露出部分之奈米結構55和/或位於部分之奈米結構55上,其做為後續完成之奈米結構場效電晶體的通道區。做為通道區之部分奈米結構55係設置在相鄰對之磊晶源極/汲極區92間。在去除期間,當虛設閘極76被蝕刻時,虛設閘極介電 層71可做為蝕刻終止層。在去除虛設閘極76後,可再去除虛設閘極介電層71。
在第16A圖和第16B圖中,去除第一奈米結構52而延伸第二凹陷98。可藉由進行等向性蝕刻製程,來去除第一奈米結構52,此等向性蝕刻製程為例如使用對第一奈米結構52的材料具有選擇性的濕式蝕刻或類似方法,而相較於第一奈米結構52,第二奈米結構54、基材50、淺溝渠隔離區(STI)68保持較未被蝕刻。在其中第一奈米結構52包含例如矽鍺和第二奈米結構54A至54C包含例如矽或碳化矽的實施例中,可使用氫氧化四甲銨(TMAH)、氫氧化銨(NH4OH)、或類似物來去除第一奈米結構52。去除第一奈米結構52會暴露出第一內間隙壁90。由於上述之製程,在多對之第一內間隙壁90間的距離是相對一致的。
在第17A圖、第17B圖和第17C圖中,閘極介電層100和閘極電極102係被形成以置換閘極。閘極介電層100係被共形地形成在第二凹陷98中。閘極介電層100可被形成在基材50的頂面和側面上,及第二奈米結構54的頂面、側面和底面上。閘極介電層100亦可被沉積在第一層間介電層96、接觸蝕刻終止層(CESL)94、第一間隙壁81和淺溝渠隔離(STI)區68的頂面上。
如第17C圖所示,根據一些實施例,閘極介電層100可包含一或多個介電層。例如:在一些實施例中,閘極介電層100可包含界面氧化層100A和在界面氧化層 100A上之高介電常數材料層100B。在一些實施例中,界面氧化層100包含氧化矽、氮氧化矽、或類似物,而高介電常數材料層100B可具有可具有大於約7.0的介電常數,並可包含金屬氧化物或Hf、Al、Zr、La、Mg、Ba、Ti、Pb的矽酸鹽、或其組合。在n型區50N和p型區50P中的閘極介電層100的結構可以是相同或不同的。閘極介電層100的形成方法包含分子束沉積(MBD)、原子層沉積(ALD)、PECVD和類似方法。
閘極電極102係被沉積在閘極介電層100上,並填充第二凹陷98的其餘部分。閘極電極102可包含如氮化鈦、氧化鈦、氮化鉭、碳化鉭、鈷、釕、鋁、鎢、其組合或其多層結構的含金屬材料。例如:雖然在第17A圖和第17B圖中只有繪示單層的閘極電極102,但閘極電極102可含任何數目的襯墊層、任何數目的功函數調整層和填充材料。組成閘極電極102之材料層的任何組合可被沉積在第二奈米結構54中之相鄰者間。
在p型區50P和n型區50N中之閘極介電層100的形成可同時發生,以致在每一區中之閘極介電層100係由相同材料所形成,而閘極電極102的形成可同時發生,以致在每一區中之閘極電極102係由相同材料所形成。在一些實施例中,在每一區中之閘極介電層100可由不同製程所形成,以致於閘極介電層100可為不同材料,和/或具有不同數目的材料層;和/或在每一區中之閘極電極102可由不同製程所形成,以致於閘極電極102可為不同材料, 和/或具有不同數目的材料層。當使用不同製程時,可使用各種罩幕步驟來覆罩並暴露出適當的區域。
在第一凹陷98的填充後,可進行平坦化製程(例如CMP)以去除閘極介電層100和閘極電極102材料的多餘部分,此些多餘部分係在第一層間介電層96的頂面上。因此,閘極介電層100和閘極電極102之材料的其餘部分形成所造成之奈米結構場效電晶體的置換閘極結構。閘極介電層100和閘極電極102可統稱為「閘極結構」。
由於上述之製程和間隙壁90的變化寬度,環繞奈米結構54之閘極結構100/102的每一區(例如:閘極區100/102A、100/102B和100/102C)可具有一一致的閘極長度LG(例如在磊晶源極/汲極區92間之每一個閘極結構100/102的最小距離)。閘極長度LG可約為上述之奈米結構52的寬度W1。因此,因固定之閘極長度的緣故,可改善閘極全環繞式電晶體的性能。例如:在各種實施例中,可達成改善的閘極金屬填充和較佳通道導通控制。
第17C圖至第17H圖繪示根據各種實施例之閘極結構100/102和內間隙壁90的各種配置的詳細視圖。第17C圖、第17D圖和第17E圖繪示其中內間隙壁90與奈米結構54B的側壁齊平的實施例,而第17F圖、第17G圖和第17H圖繪示其中內間隙壁90自奈米結構54B的側壁凹下的實施例。在第17F圖、第17G圖和第17H圖中,磊晶源極/汲極區92更延伸超過奈米結構54B的側壁,並延伸在奈米結構54B間。第17C圖和第17F圖繪示其中 奈米結構52被形成具有凹下的側壁,而內間隙壁90被形成具有突出的側壁的實施例。因此,所造成之閘極結構100/102同樣具有凹下的側壁。可藉由例如提供具有如第2C圖所示之摻雜濃度的第一奈米結構52,來達成第17C圖和第17F圖的實施例。第17D圖和第17G圖繪示其中奈米結構52被形成具有筆直的側壁,而內間隙壁90被形成具有筆直的側壁的實施例。因此,所造成之閘極結構100/102同樣具有筆直的側壁。可藉由例如提供具有如第2D圖所示之摻雜濃度的第一奈米結構52,來達成第17D圖和第17G圖的實施例。第17E圖和第17H圖繪示其中奈米結構52被形成具有突出的側壁,而內間隙壁90被形成具有凹下的側壁的實施例。因此,所造成之閘極結構100/102同樣具有突出的側壁。可藉由例如提供具有如第2E圖所示之摻雜濃度的第一奈米結構52,來達成第17E圖和第17H圖的實施例。
在第18A圖至第18C圖中,閘極結構(其包含閘極介電層100和閘極電極102)被凹陷,以使凹陷直接形成在閘極結構上並在第一間隙壁81的相對部分之間。閘極罩幕104包含一或多層介電材料,如氮化矽、氮氧化矽、或類似物,並被填入凹陷中,接著進行平坦化製程以去除延伸在第一層間介電層96上之介電材料的多餘部分。後續形成之閘極接觸(如以下針對第20A圖至第20C圖所討論之閘極接觸114)穿越過閘極罩幕104,以接觸凹陷的閘極電極102的頂面。
如第18A圖至第18C圖所進一步繪示,沉積第二層間介電層106於第一層間介電層96上並在閘極罩幕104上。在一些實施例中,第二層間介電層106為由FCVD所形成之可流動的薄膜。在一些實施例中,第二層間介電層106係由摻雜磷的矽玻璃(PSG)、摻雜硼的矽玻璃(BSG)、摻雜硼磷的矽玻璃(BPSG)、未摻雜的矽玻璃(USG)或類似物所形成,並可被任何合適的方法所沉積,如CVD、PECVD或類似方法。
在第19A圖至第19C圖中,蝕刻第二層間介電層106、第一層間介電層96、接觸蝕刻終止層94和閘極罩幕104,以形成第三凹陷108,此第三凹陷108曓露出磊晶源極/汲極區92和/或閘極結構的表面。可藉由使用非等向性蝕刻製程(如RIE、NBE或類似方法)的蝕刻來形成第三凹陷108。在一些實施例中,第三凹陷108可被第一蝕刻製程蝕刻穿越過第二層間介電層106和第一層間介電層96;被第二蝕刻製程蝕刻穿越過閘極罩幕104;再被第三蝕刻製程蝕刻穿越過接觸蝕刻終止層94。可形成並圖案化一罩幕在第二層間介電層106上,以自第一蝕刻製程和第二蝕刻製程罩幕住第二層間介電層106。在一些實施例中,蝕刻製程可過度蝕刻,因而第三凹陷108延伸至磊晶源極/汲極區92和/或閘極結構中,第三凹陷108的底面可齊平至(例如在同一水平,或具有與基材間的相同距離)或低於(例如較靠近基材)磊晶源極/汲極區92和/或閘極結構。雖然第19B圖將第三凹陷108繪示為暴露出磊晶源極/汲極 區92和/或閘極結構,但在各種實施例中,磊晶源極/汲極區92和/或閘極結構可在不同的剖面中被暴露出,藉以減少與後續形成之接觸短路的風險。在形成第三凹陷108後,形成矽化區110於磊晶源極/汲極區92上。在一些實施例中,矽化區110的形成是,先藉由沉積能夠與其下方之磊晶源極/汲極區92(例如矽、矽鍺、鍺)的材料反應的金屬(例如鎳、鈷、鈦、鉭、鉑、鎢、其他貴金屬、其他耐火金屬、稀土金屬、或其合金)在磊晶源極/汲極區92的暴露部分上,再進行熱退火製程,以形成矽化區110。然後,藉由例如蝕刻製程來去除所沉積之金屬之未反應的部分。雖然矽化區110係稱為矽化區,但矽化區110亦可稱為鍺化區,或矽鍺化區(例如包含矽化物和矽鍺化物)。在一實施例中,矽化區110包含鈦矽(TiSi),並具有在約2nm至約10nm範圍中的寬度。
接著,在第20A圖至第20C圖中,形成接觸112和接觸114(亦稱為接觸插塞)於第三凹陷108中。接觸112和接觸114其中每一者包含一或多層,如阻障層、擴散和填充材料。例如:在一些實施例中,接觸112和接觸114其中每一者包含阻障層和填充材料(未分開繪示),並電性連接至其下方的導電特徵(在所示之實施例中的閘極電極102和/或矽化區110)。接觸114係電性連接至閘極結構(例如閘極電極102),而可被稱為閘極接觸。接觸112係電性連接矽化區110,而可被稱為源極/汲極接觸。阻障層可包含鈦、氮化鈦、鉭、氮化鉭或類似物。此導電材料 可為銅、銅合金、銀、金、鎢、鈷、鋁、鎳、或類似物。可進行平坦化製程(如CMP)以自第二間介電層106的表面去除多餘的材料。
雖然第20A圖至第20C圖繪示接觸112延伸至每一個磊晶源極/汲極區92,但接觸112可自某些磊晶源極/汲極區92省略。例如:雖然未特定地繪示,可後續地將導電特徵(例如電源軌(Power Rail)貼附至一或多個磊晶源極/汲極區92的背面。對這些磊晶源極/汲極區92而言,接觸112可被省略或為不電性連接至其上之導電線(亦接觸112可)的虛設接觸。
在此所述的各種實施例提供包含有多個閘極區的多種奈米結構場效電晶體,此些閘極區環繞多個通道區的堆疊,此些通道區具有相對一致的閘極長度。可藉由例如置換設置在半導體奈米片間的多個犧牲奈米片來達成一致長度的閘極區,此些半導體奈米片後續提供在完成之電晶體中的通道區。此些犧牲奈米片可被沉積具有變化的鍺濃度,以致於每一個犧牲奈米片可被蝕刻不同的數量,以補償用以圖案化犧牲奈米片的非均勻和非等向性的蝕刻製程。結果是,可更精準地控制犧牲奈米片(和所造成之閘極區)的輪廓,以提供改善的均勻度。可藉由以第III族元素(如硼或類似物)摻雜犧牲奈米片來避免缺陷(如去除犧牲奈米片後的矽鍺殘留物)。
在一實施例中,一種裝置包含:具有第一閘極長度的第一閘極區;位於第一閘極區的側壁上的第一間隙壁; 位於第一閘極區上的半導體層;位於半導體層上的第二閘極區,其中第二閘極區具有等於第一閘極長度的第二閘極長度;以及位於第二閘極區的側壁上的第二間隙壁,其中第一間隙壁比第二間隙壁寬。可選擇地,在一些實施例中,面向第一間隙壁之第一閘極區的側壁係凸面的。可選擇地,在一些實施例中,面向第一間隙壁之第一閘極區的側壁係凹面的。可選擇地,在一些實施例中,面向第一間隙壁之第一閘極區的側壁係平直的。可選擇地,在一些實施例中,與第一閘極區反向之第一間隙壁的側壁係與半導體層與的側壁齊平。可選擇地,在一些實施例中,與第一閘極區反向之第一間隙壁的側壁係自半導體層的側壁凹陷。可選擇地,在一些實施例中,前述之裝置更包含:鄰近第一閘極區和第二閘極區的源極/汲極區,其中源極/汲極區係延伸在半導體層和第二半導體層之間,其中第二半導體層係設置在第一閘極區的下方。可選擇地,在一些實施例中,第二半導體層比半導體層寬。
在一實施例中,一種電晶體包含:第一奈米結構;位於第一奈米結構上的第二奈米結構,其中第一奈米結構比第二奈米結構寬;環繞第一奈米結構和第二奈米結構的閘極結構,其中閘極結構包含:位於第一奈米結構上的第一區;以及位於第二奈米結構上的第二區,其中第二區具有與第一區相同的閘極長度。可選擇地,在一些實施例中,位於閘極結構之第一區的側壁上的第一間隙壁比位於閘極結構之第二區的側壁上的第二間隙壁寬。可選擇地,在一 些實施例中,第一間隙壁的側壁係與第一奈米結構的一側壁對齊。可選擇地,在一些實施例中,前述之電晶體更包含:鄰近第一閘極區和第二閘極區的源極/汲極區,其中源極/汲極區係延伸在第一奈米結構和第二奈米結構之間。
在一實施例中,一種方法包含:沉積第一半導體層於基材上;沉積第二半導體層於第一半導體層上;沉積第三半導體層於第二半導體層上,其中第一半導體層的鍺濃度大於第三半導體層;蝕刻第一開口穿過第一半導體層、第二半導體層和第三半導體層,第一半導體層具有與第三半導體層相同的寬度;形成源極/汲極區於開口中;以及以閘極結構置換第一半導體層和第三半導體層,其中閘極結構係設置環繞第二半導體層。可選擇地,在一些實施例中,第一半導體層之第III族元素摻質的濃度大於第三半導體層之第III族元素摻質的濃度。可選擇地,在一些實施例中,第III族元素摻質為硼。可選擇地,在一些實施例中,凹陷一半導體層和第二半導體層定義出與第一半導體層相鄰之第二開口和第三半導體層相鄰之第三開口,其中第二開口和第三開口係連接至第一開口,前述之方法更包含形成第一間隙壁於第二開口中;以及形成第二間隙壁於第三開口中。可選擇地,在一些實施例中,第二開口的寬度係介於1mm至3mm的範圍中。可選擇地,在一些實施例中,第二開口比第三開口寬。可選擇地,在一些實施例中,第一半導體層之一第III族元素摻質的濃度在第一半導體層的頂面和底面上是最高的。可選擇地,在一些實施例中, 第一半導體層之第III族元素摻質的濃度在第一半導體層的內部上是最高的。
上述摘要許多實施例的特徵,因此本領域具有通常知識者可更了解本揭露的態樣。本領域具有通常知識者應理解利用本揭露為基礎可以設計或修飾其他製程和結構以實現和所述實施例相同的目的及/或達成相同優勢。本領域具有通常知識者也應了解與此同等的架構並沒有偏離本揭露的精神和範圍,且可以在不偏離本揭露的精神和範圍下做出各種變化、交換和取代。
50:基材
52A,52B,52C:第一奈米結構
54A,54B,54C:第二奈米結構
64:多層堆疊
71:虛設閘極介電層
76:虛設閘極
78:罩幕
81:第一間隙壁
86:第一凹陷
W1,W2,W3:寬度

Claims (10)

  1. 一種半導體裝置的形成方法,包含:形成堆疊的一第一半導體層、一第二半導體層與一第三半導體層,其中該第一半導體層之一第III族元素摻質的濃度大於該第三半導體層之一第III族元素摻質的濃度;分別形成一第一間隙壁與一第二間隙壁於該第一半導體層的一側壁上與該第三半導體層的一側壁上,其中該第一間隙壁比該第二間隙壁寬;以及分別以一第一閘極區與一第二閘極區置換該第一半導體層與該第三半導體層,使該第二半導體層位於該第一閘極區上,該第一閘極區具有一第一閘極長度,該第二閘極區位於該第二半導體層上,且該第二閘極區具有等於該第一閘極長度的一第二閘極長度。
  2. 如請求項1所述之半導體裝置的形成方法,其中面向該第一間隙壁之該第一閘極區的一側壁係凸面的或凹面的。
  3. 如請求項1所述之半導體裝置的形成方法,其中與該第一閘極區反向之該第一間隙壁的一側壁係與該第二半導體層與的一側壁齊平。
  4. 如請求項1所述之半導體裝置的形成方法,其中與該第一閘極區反向之該第一間隙壁的一側壁係自該 第二半導體層的一側壁凹陷。
  5. 如請求項4所述之半導體裝置的形成方法,更包含:形成鄰近該第一閘極區和該第二閘極區的一源極/汲極區,其中該源極/汲極區係延伸在該第二半導體層和一第四半導體層之間,其中該第四半導體層係設置在該第一閘極區的下方,該第四半導體層比該第二半導體層寬。
  6. 一種半導體裝置的形成方法,包含:形成堆疊的一第一奈米結構、一第二奈米結構與一第三奈米結構,其中該第一奈米結構比該第二奈米結構寬,該第二奈米結構之一第III族元素摻質的濃度在該第二奈米結構的一頂面和一底面上或在該第二奈米結構的一內部上是最高的;以及以一閘極結構置換該第二奈米結構,使該閘極結構環繞該第一奈米結構和該第三奈米結構,其中該閘極結構包含:一第一區,位於該第一奈米結構上;以及一第二區,位於該第三奈米結構上,其中該第二區具有與該第一區相同的閘極長度。
  7. 一種半導體裝置的形成方法,包含:沉積一第一半導體層於一基材上; 沉積一第二半導體層於該第一半導體層上;沉積一第三半導體層於該第二半導體層上,其中該第一半導體層的一鍺濃度大於該第三半導體層,該第一半導體層之一第III族元素摻質的濃度大於該第三半導體層之一第III族元素摻質的濃度;蝕刻一第一開口穿過該第一半導體層、該第二半導體層和該第三半導體層,該第一半導體層具有與該第三半導體層相同的寬度;形成一源極/汲極區於該第一開口中;以及以一閘極結構置換該第一半導體層和該第三半導體層,其中該閘極結構係設置環繞該第二半導體層。
  8. 如請求項7所述之半導體裝置的形成方法,其中該第III族元素摻質為硼。
  9. 如請求項7所述之半導體裝置的形成方法,其中凹陷該第一半導體層和該第二半導體層定義出與該第一半導體層相鄰之一第二開口和與該第三半導體層相鄰之一第三開口,其中該第二開口和該第三開口係連接至該第一開口,該第二開口比該第三開口寬,該半導體裝置的形成方法更包含:形成一第一間隙壁於該第二開口中;以及形成一第二間隙壁於該第三開口中。
  10. 如請求項7所述之半導體裝置的形成方法,其中該第一半導體層之一第III族元素摻質的濃度在該第一半導體層的一頂面和一底面上或在該第一半導體層的一內部上是最高的。
TW110129195A 2020-11-12 2021-08-06 半導體裝置的形成方法 TWI802950B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063112758P 2020-11-12 2020-11-12
US63/112,758 2020-11-12
US17/226,905 US20220149176A1 (en) 2020-11-12 2021-04-09 Gate structures and methods of forming same
US17/226,905 2021-04-09

Publications (2)

Publication Number Publication Date
TW202234468A TW202234468A (zh) 2022-09-01
TWI802950B true TWI802950B (zh) 2023-05-21

Family

ID=80791096

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110129195A TWI802950B (zh) 2020-11-12 2021-08-06 半導體裝置的形成方法

Country Status (5)

Country Link
US (2) US20220149176A1 (zh)
KR (1) KR102571916B1 (zh)
CN (1) CN114256235A (zh)
DE (1) DE102021109107A1 (zh)
TW (1) TWI802950B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11588031B2 (en) * 2019-12-30 2023-02-21 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure for memory device and method for forming the same
KR20220091756A (ko) * 2020-12-24 2022-07-01 삼성전자주식회사 반도체 장치
US11688767B2 (en) * 2021-02-25 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201913821A (zh) * 2017-08-30 2019-04-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
TW201914950A (zh) * 2017-09-13 2019-04-16 美商格芯(美國)集成電路科技有限公司 具有改進的內間隔件的奈米片電晶體
US20190157420A1 (en) * 2017-11-15 2019-05-23 International Business Machines Corporation Nanosheet transistor
TW202008595A (zh) * 2018-07-27 2020-02-16 南韓商三星電子股份有限公司 半導體元件
TW202010138A (zh) * 2018-08-30 2020-03-01 台灣積體電路製造股份有限公司 奈米線堆疊閘極全環繞元件、半導體結構及奈米線堆疊閘極全環繞元件的製造方法

Family Cites Families (17)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7227176B2 (en) * 1998-04-10 2007-06-05 Massachusetts Institute Of Technology Etch stop layer system
US20080050883A1 (en) * 2006-08-25 2008-02-28 Atmel Corporation Hetrojunction bipolar transistor (hbt) with periodic multilayer base
US9543438B2 (en) * 2014-10-15 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Contact resistance reduction technique
US10522694B2 (en) * 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing semiconductor device
US9947767B1 (en) * 2017-01-26 2018-04-17 International Business Machines Corporation Self-limited inner spacer formation for gate-all-around field effect transistors
US10297664B2 (en) * 2017-04-13 2019-05-21 Globalfoundries Inc. Nanosheet transistor with uniform effective gate length
KR102388463B1 (ko) * 2017-08-21 2022-04-20 삼성전자주식회사 채널 패턴을 포함하는 반도체 소자 및 그 제조 방법
DE102017124637A1 (de) * 2017-08-30 2019-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Herstellungsverfahren für ein Halbleiter-Bauelement und ein Halbleiter-Bauelement
US10355102B2 (en) * 2017-11-15 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10564125B2 (en) * 2017-12-14 2020-02-18 International Business Machines Corporation Self-aligned nanotips with tapered vertical sidewalls
US10446664B1 (en) * 2018-03-20 2019-10-15 International Business Machines Corporation Inner spacer formation and contact resistance reduction in nanosheet transistors
US10283516B1 (en) * 2018-06-27 2019-05-07 International Business Machines Corporation Stacked nanosheet field effect transistor floating-gate EEPROM cell and array
US11335807B2 (en) * 2018-06-29 2022-05-17 Intel Corporation Isolation schemes for gate-all-around transistor devices
US10930794B2 (en) * 2018-06-29 2021-02-23 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned spacers for multi-gate devices and method of fabrication thereof
US11588052B2 (en) * 2018-08-06 2023-02-21 Intel Corporation Sub-Fin isolation schemes for gate-all-around transistor devices
US10741558B2 (en) * 2018-08-14 2020-08-11 Taiwan Semiconductor Manufacturing Co., Ltd. Nanosheet CMOS device and method of forming
US11031502B2 (en) * 2019-01-08 2021-06-08 Samsung Electronics Co., Ltd. Semiconductor devices

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201913821A (zh) * 2017-08-30 2019-04-01 台灣積體電路製造股份有限公司 半導體裝置及其製造方法
TW201914950A (zh) * 2017-09-13 2019-04-16 美商格芯(美國)集成電路科技有限公司 具有改進的內間隔件的奈米片電晶體
US20190157420A1 (en) * 2017-11-15 2019-05-23 International Business Machines Corporation Nanosheet transistor
TW202008595A (zh) * 2018-07-27 2020-02-16 南韓商三星電子股份有限公司 半導體元件
TW202010138A (zh) * 2018-08-30 2020-03-01 台灣積體電路製造股份有限公司 奈米線堆疊閘極全環繞元件、半導體結構及奈米線堆疊閘極全環繞元件的製造方法

Also Published As

Publication number Publication date
CN114256235A (zh) 2022-03-29
US20220149176A1 (en) 2022-05-12
KR102571916B1 (ko) 2023-08-28
TW202234468A (zh) 2022-09-01
KR20220064881A (ko) 2022-05-19
US20230387246A1 (en) 2023-11-30
DE102021109107A1 (de) 2022-05-12

Similar Documents

Publication Publication Date Title
TWI802950B (zh) 半導體裝置的形成方法
US11908695B2 (en) Replacement gate methods that include treating spacers to widen gate
TW202129840A (zh) 半導體裝置及其形成方法
US20240177998A1 (en) Transistor Gate Structure and Method of Forming
US20240136228A1 (en) Ion Implantation For Nano-FET
US11916124B2 (en) Transistor gates and methods of forming
KR20220116097A (ko) 나노-fet 반도체 디바이스 및 형성 방법
TWI795774B (zh) 填充結構及其製造方法
TWI760054B (zh) 電晶體及其形成方法
TWI808733B (zh) 半導體裝置及其形成方法
TWI793622B (zh) 包含有多層罩幕層之半導體裝置的形成方法
US11961893B2 (en) Contacts for semiconductor devices and methods of forming the same
KR102669042B1 (ko) 소스/드레인 영역들 및 그 형성 방법들
US20230317859A1 (en) Transistor gate structures and methods of forming thereof
US20230027789A1 (en) Semiconductor Devices and Methods of Forming the Same
US20230369502A1 (en) Semiconductor device and method
US20230378261A1 (en) Semiconductor Device and Method of Forming Same
US20230064457A1 (en) Nanostructure Device and Method of Forming Thereof
TW202320144A (zh) 奈米結構場效電晶體裝置及其形成方法
KR20220113232A (ko) 소스/드레인 영역들 및 그 형성 방법들
TW202308041A (zh) 半導體裝置及其形成方法
TW202416360A (zh) 半導體裝置及其形成方法
TW202230606A (zh) 半導體裝置
TW202335105A (zh) 半導體裝置及其製造方法