TWI785649B - 電漿處理裝置及電漿處理方法 - Google Patents

電漿處理裝置及電漿處理方法 Download PDF

Info

Publication number
TWI785649B
TWI785649B TW110121684A TW110121684A TWI785649B TW I785649 B TWI785649 B TW I785649B TW 110121684 A TW110121684 A TW 110121684A TW 110121684 A TW110121684 A TW 110121684A TW I785649 B TWI785649 B TW I785649B
Authority
TW
Taiwan
Prior art keywords
film
wavelength
wafer
spectrum
end point
Prior art date
Application number
TW110121684A
Other languages
English (en)
Other versions
TW202201533A (zh
Inventor
岡本翔
臼井建人
松井都
中元茂
川本尚裕
関口篤史
Original Assignee
日商日立全球先端科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商日立全球先端科技股份有限公司 filed Critical 日商日立全球先端科技股份有限公司
Publication of TW202201533A publication Critical patent/TW202201533A/zh
Application granted granted Critical
Publication of TWI785649B publication Critical patent/TWI785649B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Plasma & Fusion (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Treatment Of Fiber Materials (AREA)

Abstract

一種電漿處理裝置(1),係對於在基板上形成有多層膜的晶圓(16)進行被處理膜的電漿蝕刻,該多層膜係交替層疊絕緣膜及含金屬的被處理膜, 具有: 被配置於真空容器內的處理室(10); 被配置於處理室內,載置晶圓的試料台(14); 檢測出被照射於晶圓的光在晶圓反射後的反射光之檢測部(28); 控制對晶圓的電漿處理之控制部(40);及 根據反射光的光譜的波長方向的振動的振幅的變化,判定對被處理膜的蝕刻的終點之終點判定部(30), 控制部,係接受終點判定部之終點的判定,停止對晶圓的電漿處理。

Description

電漿處理裝置及電漿處理方法
本發明是有關電漿處理裝置及使用彼的電漿處理方法。
在專利文獻1是揭示為了使蝕刻處理的良品率提升,而精密地檢測出處理對象的膜的剩餘厚度之技術。蝕刻處理對象是具有被處理膜被層疊於底層膜上的膜構造的晶圓,藉由來自的電漿的光被照射至膜構造,在膜間的境界、界面部反射而產生反射光。由於在來自底層膜的反射光與來自被處理膜的反射光之間產生光路差,因此形成干涉光。干涉光的強度是隨著蝕刻的進展,按照被處理膜的剩餘厚度減少而變化。於是,在專利文獻1揭示的技術中,利用比較在處理中取得的來自晶圓的干涉光強度的實際樣式資料與預先作為參照用準備的干涉光強度的實際樣式資料的結果,算出晶圓處理中的任意的時刻的被處理膜的蝕刻量。
先前技術文獻 專利文獻
專利文獻1:日本特開2016-184638號公報
在專利文獻1中作為蝕刻處理的被處理膜假想的是平面地形成的膜或被形成於晶圓的最上層的膜。對於此,在立體化的半導體裝置中是有時具有對於2種類以上的膜彼此層疊的多層膜(層疊構造體)選擇性地朝橫方向蝕刻其中的1種類的膜之製程。例如,在形成次世代3D-NAND快閃記憶體的閘極電極的工程中,是含有從被形成於鎢膜與絕緣膜的層疊構造體之高長寬比(aspect ratio)的微細的寬度的溝,將鎢膜蝕刻於橫方向(對於溝的上下深度方向的水平方向)的處理。以往,有關如此的橫方向蝕刻是依據進行蝕刻的時間來控制蝕刻量。蝕刻量是可依據蝕刻前後的晶圓的重量的不同來計測。然而,隨著半導體裝置的微細化,例如若被要求以原子層等級的高的精度來蝕刻鎢膜,則時間控制是早就困難,可思考需要監視蝕刻量來判定蝕刻的終點。
在本案中所揭示的發明之中,若簡單地說明代表者的概要,則如其次般。
一種電漿處理裝置,係對於在基板上形成有交替地在上下方向層疊絕緣膜及含金屬的被處理膜之多層 膜的晶圓進行被處理膜的電漿蝕刻之電漿處理裝置,其特徵係具有:被配置於真空容器內的處理室;被配置於處理室內,載置晶圓的試料台;檢測出被照射於晶圓的光在晶圓反射後的反射光之檢測部;控制對晶圓的電漿處理之控制部;及根據有關從反射光檢測出的複數的波長的光譜的波長方向的振動的振幅的變化,判定被處理膜的橫方向的蝕刻的終點之終點判定部,控制部,係接受終點判定部之終點的判定,停止對晶圓的電漿處理。
在本案中所揭示的發明之中,若簡單地說明藉由代表者所取得的效果,則如其次般。藉由可在處理中監視被處理膜的蝕刻量而判定終點,可使蝕刻處理的良品率提升。
其他的課題及新穎的特徵是由本說明書的描述及附圖明確得知。
在圖4A~C中模式性地表示對被形成於半導體晶圓等的基板上的層疊構造體實施電漿蝕刻所產生的時間性的變化。圖4A是蝕刻前的層疊構造體的縱斷面圖。在底層膜(矽基板)401上,在蝕刻處理對象的鎢膜402與絕緣膜的氧化矽膜403交替地被層疊的多層膜(層疊構造體)中形成有高的長寬比的溝411。圖4B是橫方向蝕刻進展途中的層疊構造體的縱斷面圖。為了蝕刻鎢膜402而使用含有氟的氣體來形成電漿。電漿中的含氟的反應種404會被供給至溝411內,與鎢膜402表面反應而生成含鎢的反應生成物405。藉由反應生成物405在上方被除去,鎢膜402的蝕刻會進展。圖4C是蝕刻後的層疊構造體的縱斷面圖。
發明者們檢討有關利用干涉光強度來監視橫方向蝕刻的蝕刻量的方法。有關資料的取得方法的詳細是後述,但若在圖4A~C所示的層疊構造體的橫方向蝕刻中照射來自外部光源的光(外部光)而檢測出反射光,則圖5般的光譜(表示每個波長的光的強度的波形)會被觀測。圖5是表示從開始橫方向蝕刻之後,5秒後(細實線)、10秒後(虛線)、15秒後(粗實線)的光譜。
被檢測出的每個波長的光的強度是主要依據被照射至晶圓的光源的每個波長的光的強度及處理中的層疊構造體的分光反射率而定。蝕刻開始前,如圖4A所示般,溝411側的鎢膜402與氧化矽膜403的端的位置是一致。鎢是持有作為金屬的性質,紫外~紅外區域的各波長的反射率大概為1,因此在蝕刻開始前是被照射的大部分的外部光會在最上層的鎢膜被反射,藉此外部光的光譜會大致原封不動地被檢測出。
其次,鎢膜402的橫方向蝕刻進展的狀態,如圖4B所示般,存在:氧化矽與鎢會交界而層疊於上下方向的區域420、及鎢被除去的區域,可謂氧化矽與真空會交界而層疊於上下方向的區域421。在此狀態中,被照射至區域420的外部光的大部分是在被反射於最上層的鎢膜的光會被檢測出。另一方面,被照射至區域421的外部光是按照氧化矽的折射率來反射及透過。由於區域421是層疊複數的膜的構造,因此在各層的氧化矽膜中發生多重反射,成為干涉光而被檢測出。
干涉光的強度是如圖5所示般在波長方向振動,其振動的週期及相位是依據多重反射的膜的材質及各層的膜厚度。由於構成區域421的層疊膜的材質是氧化矽與真空,因此被檢測出的光譜的振動的週期,因為真空是折射率1所以可無視,依據在上下方向層疊的氧化矽膜的膜厚度而定。
以上,藉由照射外部光至圖4A~C所示的橫方向蝕刻中的層疊構造體來檢測出的光譜是來自區域420的反射光強度與來自區域421的干涉光強度的和,進一步,藉由層疊構造體的鎢膜的蝕刻處理進展,區域420及區域421的寬,換言之,藉由晶圓表面的開口率變化,隨著橫方向蝕刻進展而被檢測出的光譜是依據來自區域420的光強度與來自區域421的光強度的比例變化而變化。在鎢膜與氧化矽膜的層疊構造中加工鎢膜的製程是對於氧化矽膜的選擇比非常高,區域421的上下方向的各層的厚度是在蝕刻處理中不變化,因此來自區域421的干涉光的波長方向的振動的週期及相位是不經過蝕刻期間而變化。
檢討從圖5所示般的蝕刻中顯現的光譜來推定橫方向蝕刻的進展狀況。檢討利用預定的波長的光強度的時間變化的情形,作為一案(比較例)。圖15是針對圖5的光譜,顯示波長515nm(圓記號)、574nm(三角記號)、658nm(四角記號)的光強度的時間變化者。如上述般,來自區域421的干涉光的波長方向的振動的週期及相位是不經過蝕刻期間而變化,因此特定波長的光強度是依晶圓表面的區域420與區域421的比率而定。對於來自區域421的每單位面積的干涉光強度,來自區域420的每單位面積的反射光強度較大,因此在各波長中光強度是單調減少。
如此,理論上,可從光譜的某波長的強度變化的時間變化來檢測出蝕刻的進展狀況。然後,實際上從檢測器檢測出的光強度是各式各樣的雜訊成分會被加算,因此一般會進行時間微分等的訊號處理,將訊號成分與雜訊成分分離。可是,此情況,訊號成分會對於時間單調減少,因此若對於預定的波長的光量實施時間微分,則不論蝕刻量如此,光量的時間變化率為一定,因此光強度與橫方向蝕刻量的對應關係喪失。所以,為了從圖15的關係推定橫方向蝕刻量,而在用以除去雜訊成分的訊號處理受到限制,因此在精度面有限度。
相對於此,本實施例的蝕刻終點判定方法是利用光譜的波長方向的振動來精度佳測定蝕刻量。以下,參照圖面,說明有關具備可精度佳控制蝕刻量的終點判定部之電漿處理裝置的構成及其終點判定方法。
(電漿處理裝置) 在圖1顯示電漿處理裝置1的概略構成。電漿處理裝置1是具備被配置於真空容器內部的處理室10。在處理室10的上方及周圍是配置有未圖示的同軸電纜與天線、或傳播微波的導波管等的電場產生器、或螺線線圈等的磁場產生器。高頻電力會被供給至電場產生器或磁場產生器,高頻電場或高頻磁場會被供給至處理室10內部。
並且,在處理室10的頂面是配置有在其內部導入氣體的複數的導入孔,該氣體是用以處理被載於試料台14上面而保持的晶圓16。該等導入孔是連結氣體導入機構,該氣體導入機構是具備未圖示的配管等的氣體供給路及被配置於其上游的流量調節機(MFC:Mass Flow Controller)。
被電漿處理的晶圓16是藉由靜電來吸附保持於試料台14之以介電質所構成的載置面。藉由在晶圓16的背面與試料台14的載置面之間供給He氣體等的熱傳達用的氣體,晶圓16與試料台14之間的熱傳導會被促進。
並且,在內藏處理室10的真空容器的下方是配置有將處理室10內部的氣體或粒子排氣而使內部減壓的渦輪分子泵等的排氣裝置。排氣裝置是與被配置於試料台14下方的處理室10的底面之排氣口連通,在該等的間的排氣管路是配置有將內側的流路的斷面積增減而使排氣的流量或其速度變化的排氣調節閥。
在藉由排氣裝置的動作而被減壓的處理室10的內部,藉由氣體導入機構來導入蝕刻處理用氣體,藉由排氣量與氣體供給量的平衡,處理室10內的壓力會被調節成適於電漿處理的開始的壓力。在此狀態下,供給高頻電場或高頻磁場,藉由相互作用,蝕刻處理用氣體的原子或分子會電離或解離,而在處理室10內的試料台14上方的空間形成電漿12。
在本實施例中,此電漿中的荷電粒子及解離而具有高反應性(活性)的活性種的粒子會被擴散或誘導至晶圓16的上面,被形成於晶圓16的多層膜的處理對象的膜(被處理膜)會被蝕刻處理(電漿處理)。
包含:導入至處理室10內的氣體的種類的選擇、氣體的導入量或排氣量、電漿12的點燃、產生及熄滅、於此的電場或磁場的強度或其分佈的控制、晶圓16的搬送及在試料台14上的保持、往被配置於試料台14內的電極之偏壓電位形成用的高頻電力的供給、停止等的電漿處理裝置1的動作是根據來自可與進行各個的動作的各機器通訊連接的控制部40的指令訊號而進行。控制部40是具有調節各機器間的同步或時機的機能,而令使用者可取得所望的蝕刻處理的結果。
進一步,本實施例的電漿處理裝置是具備用以測定被電漿處理的晶圓16的蝕刻量之監視器、終點判定部。有關該等的構成的詳細是後述。
(橫方向蝕刻製程) 在晶圓16上是形成有圖4A所示般包含鎢膜的層疊膜。圖2是表示被形成於晶圓16的包含鎢膜的層疊膜的蝕刻處理的概略的流程圖。
步驟201:將含有氟的有機氣體導入至處理室10。含有氟的有機氣體是除了C4 F8 或CHF3 、CH2 F2 、CH3 F等以外,亦可以氬或氮、氧等來稀釋該等的氣體之混合氣體。
步驟202:在處理室10內產生電漿12,含氟的有機氣體的原子或分子被活化而生成的CFx 等的自由基(活性種)會被供給至晶圓16的表面,附著於鎢膜的表面。在此所謂鎢膜是不限於鎢單體膜,亦可為包含鎢的含複數的元素的金屬膜。例如,亦可為WN膜或WSi2 膜之類者。自由基等的具有反應性的粒子是與附著的鎢膜表面的材料反應而形成碳氟化合物層。被形成的碳氟化合物層的厚度是依據電漿12的產生條件或試料台14的溫度等的處理條件。
作為在鎢膜的表面生成的碳氟化合物層的組成是除了CFx 以外,可舉在使用含有氫的電漿的情況生成的CHx Fy 等。CHx Fy 是被稱為氫氟烴層,但在此是氫氟烴層也包括,使用稱為碳氟化合物層的稱呼。在鎢膜的表面與碳氟化合物層的境界是形成有包含鎢及氟具有自飽和性的中間層。被形成的中間層的厚度是按照試料台14或晶圓16的表面的溫度而變化。因此,中間層的厚度是藉由控制試料台14的溫度來調整。
步驟203:形成預定的厚度的中間層的情形會藉由檢測器、或依據被預定的時間的經過的確認等,藉由控制部40來確認之後,控制排氣調節閥來擴大調壓真空排氣配管的流路斷面積,藉此使排氣量增大,使處理室10內部大幅度減壓,而將被供給至處理室10內的含氟的有機氣體迅速地排氣。藉此完成碳氟化合物層的形成處理。此時,亦可以能和有機氣體置換的方式,將Ar等的惰性(稀有)氣體供給至處理室10內部,使促進有機氣體的排出。
步驟204:將含氧的氣體作為用以除去碳氟化合物層及中間層的反應氣體導入至處理室10內。含氧的氣體是除了O2 或O3 等以外,亦可以氬或氮等來稀釋該等的氣體之混合氣體。
步驟205:在處理室10內產生電漿12,氣體的原子或分子被活化而生成的自由基(活性種)會被供給至晶圓16的表面。其結果,發生含氧的自由基的活性種與碳氟化合物層及中間層的反應,生成具有揮發性的反應生成物。反應生成物會因為其揮發性而從層疊膜昇華或脫離,藉此從鎢膜的表面除去。
反應生成物是例如可舉CO或CO2 、COFx 、WFx 、WOx Fy 等。從晶圓16脫離的該等的反應生成物的粒子是藉由利用排氣調節閥及排氣裝置的動作之處理室10內部的排氣動作、及藉此產生的處理室10內部的粒子的流動,來從處理室10排出。
步驟206:從處理室10排除含氧的氣體。
藉由匯集以上的步驟201~206的1循環來與碳氟化合物層一起除去中間層,藉此鎢膜會僅該等的層的厚度的部分被除去。
(監視器) 本實施例的電漿處理裝置1是具備監視器,如圖1所示般,測定反映在電漿處理中藉由橫方向蝕刻所形成的橫溝深度之蝕刻量。 監視器是具備: 發出照射至處理室10內的外部光之光源部18; 被配置於處理室10的頂面,將來自以光纖連結的光源部18的外部光照射至處理室10內的晶圓16之透鏡20; 被配置於處理室10的頂面,接受從處理室10內的晶圓16反射的光之透鏡26; 以光纖和透鏡26連結,檢測出接受的光的強度之檢測部28;及 從來自檢測部28的檢測訊號,根據電漿處理中的晶圓16的蝕刻量,判定蝕刻的終點之終點判定部30。 從終點判定部30的進行後的終點判定資訊或檢測訊號來算出的蝕刻量是被顯示於顯示部31。
來自光源部18的外部光是傳播於光纖而經由透鏡20來從處理室10的頂面導入。作為照射光22照射至晶圓16的上面。作為光源部18的照射的外部光是設為使用從紫外到紅外的連續光。另外,亦可不是發出連續光的光源,而使用按照終點判定方法,發出特定波長的光的光源。照射光22被照射至晶圓16而產生的反射光24是被集光於檢測用的透鏡26。如利用圖4A~C說明般,反射光24是包含來自區域420的反射光及來自區域421的反射光。被集光於透鏡26的反射光24是傳播於光纖而被導入至檢測部28。
檢測部28是具備分光器,藉由分光器來將被導入的反射光分離成複數的波長,按每個波長檢測出光的強度。從特定波長的光強度進行蝕刻的終點判定時,利用光檢測器,藉由將單色器等設於其前段,可選擇性地檢測出特定波長的光。又,使用特定波長的光源作為光源時,只要藉由光檢測器來直接檢測出即可。
如圖1所示般,透鏡20及透鏡26是被配置於處理室10的頂面。然而,一般在晶圓16的正上方是設置有氣體導入口或用以將反應氣體等均等地供給至處理室10的淋浴板等,因此有無法將透鏡20及透鏡26配置於晶圓16的正上方的情況。如此的情況,是以不妨礙照射光22、反射光24的光路之方式,將透鏡20及透鏡26彼此取距離而配置。此情況,最好以照射光22的光路及反射光24的光路(反射光24的光路是設為照射光22會在晶圓16被鏡面反射而形成的光路)與透鏡20的光軸及透鏡26的光軸會分別一致之方式,使透鏡傾斜於處理室10的頂面而配置。
另外,可在晶圓16的正上方配置透鏡的情況,亦可以照射光22與反射光24的光路會成為相同的方式,使用1個的透鏡來構成透鏡20及透鏡26。此情況,1個的透鏡的光軸是對於晶圓16垂直,照射光22是對於晶圓16垂直地照射,垂直地反射後的反射光24會被檢測出。
而且,亦可設為具備複數個:光源部18、透鏡20,26及檢測部28的組合,針對晶圓16上面的半徑方向,複數次進行蝕刻量的檢測、終點的判定的構成。此情況,可根據對於複數次檢測出的蝕刻量加權而求得的蝕刻量的權重平均,控制製程的停止。
檢測部28是檢測來自晶圓16的反射光24的強度,檢測訊號是被發送至終點判定部30,按照後述的判定方法來判定被橫方向蝕刻的晶圓16的蝕刻的終點。
(橫方向蝕刻終點判定方法) 圖3是終點判定部30判定晶圓16的被處理膜的橫方向蝕刻的終點的流程圖。
本實施例的橫方向蝕刻終點判定方法是著眼於橫方向蝕刻處理期間中的光譜的波長方向的振動的振幅。就圖5的例子而言,可知在大概500~600nm的波長範圍中顯著,但波長方向的振動的振幅會隨著蝕刻期間變長而變大。可思考此振幅的擴大是因為佔據來自晶圓的反射光之來自區域421(參照圖4B)的干涉光的比例會隨著蝕刻的進展而變大。此意思可根據波長方向的振幅的時間變化來算出橫方向蝕刻量。於是,在圖3的流程圖中,對於被形成於晶圓上的蝕刻對象的多層膜,設定計測來自晶圓的反射光的光強度的2波長,當2波長的反射光的強度比到達預定的設定值時判定蝕刻的終點。
首先,對於被形成於晶圓上的橫方向蝕刻對象的多層膜,設定測定光強度的2波長,設定作為晶圓的蝕刻的終點之2波長的反射光的強度比(步驟301)。此設定值是被記憶於控制部40的HDD(Hard Disk Drive)、CD-ROM(Compact Disk-Read only memory)等的外部記憶裝置或RAM(Random Access Memory)、ROM等的半導體記憶裝置等的記憶裝置,藉由控制部40所讀出的設定值的資料會被發送至構成可與控制部40通訊的終點判定部30,被用在蝕刻的終點的判定。
在此,監視的2波長是只要在於2波長的光強度的比,選擇波長方向的振幅的時間變化會被反映的2波長即可。但,由於光強度的比的變化越大幅度顯現,越可對於雜訊等進行健全的測定,因此若為圖5的例子,則最好選擇550nm附近的光譜的波長方向的振動的成為山的波長及成為谷的波長作為2個的波長。如此,合適的波長是依蝕刻對象的層疊構造體的材料、外部光源的種類、亦即外部光(照射光)所具有的光譜的特性而改變,因此測定的2波長是按照作為蝕刻對象的層疊構造體來設定。
控制部40開始晶圓的處理,在檢測部28檢測出處理中取得的來自晶圓的反射光的光譜。被檢測出的光譜是被輸入至終點判定部30(步驟302)。在此,被檢測出的反射光的光強度是只要包含作為蝕刻終點條件設定的至少2波長的光強度即可,可為連續光的光譜,亦可為作為測定波長設定的2波長的光強度。
其次,終點判定部30是針對被輸入的2波長的光強度資料,藉由與在步驟301設定的強度比相同的計算式,來算出強度比(稱為測定強度比)(步驟303)。
其次,終點判定部30是比較測定強度比與設定值(步驟304)。當被判定成測定強度比未滿設定值時,返回至步驟302的處理。若被判定成測定強度比為設定值以上,則判定到達目標的蝕刻量,將使製程終了的訊號發送至控制部40(步驟305)。
控制部40是接受製程終了訊號,對電漿處理裝置1的各機構發送製程終了用的指令訊號。具體而言,停止高頻電場或高頻磁場的產生,停止往試料台14內的電極之高頻電力的供給,藉此電漿12會被熄滅,停止蝕刻處理。
然後,晶圓16之利用靜電的往試料台14的吸附會被解除,被搬送至處理室10外部,因應所需開始其次的晶圓16的處理。此時,亦可按照晶圓來變更蝕刻處理的控制參數。亦可不熄滅電漿12地實行對於複數的晶圓的蝕刻處理。
在圖6顯示針對圖5所示的光譜,波長λa (564nm)的光強度I(λa )與波長λb (556nm)的光強度I(λb )的強度比(I(λa )/I(λb ))的時間變化。另外,就此例而言,波長λa (564nm)是成為振動的山,波長λb (556nm)是成為振動的谷。如此,觀察2波長的測定強度比按照蝕刻時間而單調增加的情形。因此,藉由預先調查蝕刻對象的層疊構造體的橫方向的蝕刻量到達所望的蝕刻量的時間點的2波長的反射光的強度比作為臨界值設定,可判定蝕刻處理的終點。又,由於2波長的反射光的強度比的時間變化是對應於區域420及區域421的寬度(參照圖4B)的變化,因此可從2波長的反射光的強度比算出該時間點的蝕刻量。在以下說明的其他的終點判定方法也同樣。
在圖3是判定2波長的反射光的強度比作為指標,但不被限定於此。亦可以2波長以上的反射光的強度比作為指標。又,不被限於強度比,亦可以光譜的波長方向的振動的振幅強度作為指標。在圖7顯示針對圖5所示的光譜,從波長λa (564nm)的光強度I(λa )與波長λb (556nm)的光強度I(λb )求取的振幅強度(I(λa )-I(λb ))的時間變化。如此,觀察振幅強度按照蝕刻時間而單調增加的情形。因此,藉由預先調查蝕刻對象的層疊構造體的橫方向的蝕刻量到達所望的蝕刻量的時間點的振幅強度作為臨界值設定,可判定蝕刻處理的終點。
又,以上是說明從在檢測部被檢測出的光譜(光強度)來判定蝕刻的終點的例子,但亦可利用光譜的波長方向的1次微分或2次微分來判定。
圖8A是針對圖5所示的光譜,在波長方向進行1次微分處理而取得的光譜(稱為1次微分光譜),在圖8B顯示1次微分光譜的振幅強度的時間變化。另外,在此是算出振幅強度作為相當於1次微分光譜的山之波長560nm的值與相當於1次微分光譜的谷之波長554nm的值的差。
同樣地,圖9A是針對圖5所示的光譜,在波長方向進行2次微分處理而取得的光譜(稱為2次微分光譜),在圖9B顯示2次微分光譜的振幅強度的時間變化。另外,在此是算出振幅強度作為相當於2次微分光譜的山之波長544nm的值與相當於2次微分光譜的谷之波長552nm的值的差。
如此,有關1次微分光譜、2次微分光譜的任一皆可確認振幅會隨著蝕刻時間變長而增大。因此,藉由和圖3的流程圖同樣的程序,根據1次微分光譜或2次微分光譜,可判定蝕刻處理的終點。另外,雖說明了藉由振幅來判定的例子,但同樣亦可根據在2波長的光譜強度比來判定。但,此情況,由於1次微分光譜值、2次微分光譜值的任一皆有取0的可能性,因此最好微分值成為0的波長是避開,取成為微分光譜值的振動的山、谷的2波長。
進一步,利用圖10~圖13來說明有關別的終點判定方法。如圖5所示般,來自層疊構造體的反射光的光譜是在波長方向振動。藉由如此對於具有週期性的光譜進行高速傅立葉變換解析(FFT:Fast Fourier Transform),可表現含在光譜的所有的頻率成分作為功率譜(每個頻率的功率密度)。如上述般,藉由層疊構造體的鎢膜的橫方向蝕刻處理進展,光譜的振幅強度會增大,因此對應於光譜的波長方向的振動頻率之功率譜的峰值也增大。因而,能以橫方向蝕刻處理進展成為圖4C所示的希望的膜構造的時間點的功率譜的對應於反射光的光譜的波長方向的振動頻率之峰值強度的值作為判定的臨界值,判定蝕刻的終點。
圖10是終點判定部30判定晶圓16的被處理膜的橫方向蝕刻的終點的流程圖。
首先,進行以下的事前設定。設定成為蝕刻的終點條件之反射光的光譜的振動頻率、及對應於作為晶圓的蝕刻的終點的振動頻率之功率譜的峰值(步驟1001)。又,設定在檢測部被檢測出的光譜之中,使用於功率譜的算出之波長的範圍(步驟1002)。為了藉由將使用於功率譜的算出之光譜的範圍限定在起因於干涉光的頻率方向的振動會顯著地表現的區域,使對應的峰值的特定更容易。並且,設定FFT的取樣點數(步驟1003)。該等的設定值也與圖3的流程同樣地被記憶於控制部40的記憶裝置,被發送至終點判定部30,用在蝕刻的終點的判定。
控制部40開始晶圓的處理,在檢測部28檢測出處理中取得的來自晶圓的反射光的光譜。被檢測出的光譜是被輸入至終點判定部30(步驟1004)。在此,在前進至步驟1005之前,亦可將每個波長的光強度資料的光譜變換成每個波數(波長的倒數)的光強度資料,進行步驟1005以後的處理。針對變換成每個波數的光強度資料後的光譜進行FFT,FFT的精度較能變佳的情形為人所知。
其次,終點判定部30是對於光譜,對於在步驟1002設定的波長範圍的光強度資料進行樣條內插處理,對於進行樣條內插處理後的光譜,取得在步驟1003設定的FFT的取樣點數的資料(步驟1005)。此時取樣是以取樣間隔成為等距離的方式進行。
其次,終點判定部30是對於在步驟1005取得的取樣資料,乘算FFT的窗函數(步驟1006)。在此,顯示使用漢明(hamming)函數作為窗函數的例子,但亦可考慮在步驟1002中設定的波長範圍的光譜的形狀來使用其他的窗函數。又,若窗函數的乘算不需要,則亦可不進行步驟1006,前進至步驟1007。
其次,終點判定部30是進行FFT處理,算出每個頻率的功率譜(步驟1007)。
其次,終點判定部30是針對在步驟1007取得的功率譜,讀取在步驟1001設定的振動頻率的功率譜的值(峰值)(步驟1008)。
其次,終點判定部30是比較在步驟1008讀取的功率譜的值與在步驟1001設定的作為晶圓的蝕刻的終點的設定值(步驟1009)。當被判定成未滿被讀取的功率譜的設定值時,返回至步驟1004的處理。若當被判定成被讀取的功率譜為設定值以上,則判定到達目標的蝕刻量,將使製程終了的訊號發送至控制部40(步驟1010)。
利用圖11A~C來說明有關在圖10的流程圖的處理。圖11A是在檢測部檢測來自晶圓16的反射光的光譜,大概200~850nm的波長範圍的光譜。其次,將取得的光譜資料輸入至終點判定部30,按照步驟1002的設定值,抽出使用在蝕刻終點判定處理的波長範圍的光譜資料。在此是設定500~700nm作為使用的波長範圍。在圖11B以粗實線表示該波長範圍的光譜資料。對於此光譜資料進行樣條內插處理,產生波長方向的資料數按照步驟1003的設定值之取樣點數的資料,產生對於進行樣條內插處理後的取樣資料乘算漢明函數的光譜資料。在圖11B以細實線來表示在步驟1006取得的光譜資料(乘算漢明函數後的光譜資料)。對於在步驟1007中乘算漢明函數後的光譜資料進行FFT處理,取得圖11C所示的功率譜。就此例而言,在取樣點(橫軸)12的位置出現功率譜的峰值(峰值=340)。此取樣點(橫軸)12會對應於光譜的波長方向的振動頻率。另外,意思取樣點的值越大,光譜的波長方向的振動的週期越短。又,峰值的大小(功率譜強度)是對應於在FFT處理實行前的光譜出現的波長方向的振動的振幅的大小。
圖12是表示圖5所示的各時刻(蝕刻處理開始後5、10、15秒)的功率譜的時間變化。各時刻(5秒後:黑實線,10秒後:虛線,15秒後:灰實線)皆在取樣點12的位置出現光譜的峰值。在圖13顯示圖12的各時刻的功率譜的峰值的值與時刻的關係。可知峰值會隨著蝕刻時間變長而變大。因此,藉由圖10的流程圖的程序,根據光譜的功率譜,可判定蝕刻處理的終點。
另外,如上述般,圖12的橫軸是對應於圖5所示的反射光的光譜的波長方向的振動頻率。此波長方向的振動頻率是對應於層疊構造體的氧化矽膜的厚度者。因此,在蝕刻中若功率譜的峰值位置變化,則可判斷成氧化矽膜的厚度變化,因此不良晶圓的判定也可進行。同樣的理由,也可從功率譜的峰值位置附近的波形來推定該膜構造的氧化矽膜的厚度的均一度。
以上,說明本實施例的蝕刻終點判定方法的例子。以上所述的實施例只不過是本發明的實施形態的一部分的例子,本發明的實施形態是不被限於上述者,可為各種的變形。
例如,在電漿處理裝置中,在電漿處理時產生的電漿會發光。來自此電漿的光(稱為電漿光)是光譜依處理氣體而異,但包含紫外~可視光區域的波長,與外部光的光譜的波長區域重疊。因此,若在電漿發光中進行蝕刻量監視用的外部光的照射,則在檢測部被檢測出的光量是電漿發光的影響會被一起計算。具體而言,檢測部28是除了照射光(外部光)的反射光以外,還檢測出電漿光、及電漿光的來自晶圓的反射光。即使為此情況,照射光(外部光)的反射光及電漿光的反射光也是波長方向的振動的振幅會隨著蝕刻的進展而增大,因此可按照本實施例的原理,進行蝕刻量的算出、終點的判定。但,對於干涉光的光譜的波長方向的振動,電漿光的發光量的時間變動成為背景雜訊,恐有使S/N比降低之虞。
作為抑制S/N比的降低之一方法,只要在不極力檢測來自晶圓的干涉光的位置(例如處理室10的側面)更具備主要檢測出電漿光的發光量的檢測部,除去電漿光的時間變動因素而進行蝕刻量的算出或終點的判定即可。又,可思考提高光源部18的發光強度,作為別的方法。藉此,可擴大依據外部光的干涉光強度對於依據電漿光的干涉光強度的比,其結果,可抑制電漿光的發光量的時間變動所致的S/N比的降低。例如,使用LED作為光源部(外部光源)時,可將電漿光的發光強度的數倍~十數倍的發光強度的照射光照射至晶圓。亦可併用該等2個的方法。
進一步,電漿處理裝置1藉由圖2所示的電漿處理來進行電漿蝕刻時,是在不使電漿產生的時機照射外部光,藉此可不受電漿光的影響,進行蝕刻量的算出、終點的判定。具體而言,在圖2的步驟206的排氣處理時是未產生電漿。或在步驟205中,在使反應生成物除去的過程中是未使電漿產生。於是,藉由在步驟206或步驟205的未使電漿產生的期間將外部光照射至晶圓,檢測出反射光,可不受電漿光的影響,進行蝕刻量的算出、終點的判定。
又,外部光源(光源部)是不被限於LED。在將外部光照射至晶圓而取得的干涉光中出現的波長方向的振動顯著地表現的波長帶是依蝕刻對象的層疊構造體的材料、構造而異,因此藉由使用對應於彼的光源,可精度佳監視蝕刻量。例如,亦可使用氙氣燈或鹵素燈等作為光源部18。
相反的,在電漿光的發光帶域,干涉光的光譜的波長方向的振動強烈表現時,不使用外部光源,藉由檢測來自電漿光的晶圓的反射光,可算出蝕刻量。將該情況的電漿處理裝置1b的構成顯示於圖14。在圖14所示的電漿處理裝置1b中,為了除去電漿光的時間變動因素,而在處理室10的側面設置主要檢測出電漿光的發光量的檢測部52,使終點判定精度提升。有關與圖1所示的電漿處理裝置1同樣的構成是附上同樣的符號表示。透鏡51是主要將電漿光集光,藉由檢測部52來測定電漿光的強度。藉由除去電漿光的強度的時間變動的影響來進行蝕刻量的算出、終點判定處理,可使蝕刻處理的精度提升。
又,本實施例是舉圖4A~C所示包含鎢膜的層疊構造體為例,但可對於以含金屬的膜作為被處理膜的層疊構造體適用。例如,對於以Ni、Co、Mo的膜或該等的氧化膜作為被處理膜的層疊構造體也可監視同樣的蝕刻量。又,層疊構造體的絕緣膜是不被限於氧化矽膜,亦可為氧氮化矽膜之類的絕緣膜。
1,1b:電漿處理裝置 10:處理室 12:電漿 14:試料台 16:晶圓 18:光源部 20,26,51:透鏡 22:照射光 24:反射光 28,52:檢測部 30:終點判定部 31:顯示部 40:控制部 401:底層膜 402:鎢膜 403:氧化矽膜 404:反應種 405:反應生成物 411:溝
[圖1]是電漿處理裝置的概略構成圖。
[圖2]是表示多層膜的橫方向蝕刻處理的概略的流程圖。
[圖3]是判定被處理膜的橫方向蝕刻的終點的流程圖。
[圖4A]是橫方向蝕刻前的層疊構造體的縱斷面圖。
[圖4B]是橫方向蝕刻進行途中的層疊構造體的縱斷面圖。
[圖4C]是橫方向蝕刻後的層疊構造體的縱斷面圖。
[圖5]是藉由照射外部光至橫方向蝕刻中的層疊構造體來檢測出的光譜。
[圖6]是針對圖5所示的光譜,表示波長λa的光強度I(λa)與波長λb的光強度I(λb)的強度比(I(λa)/I(λb))的時間變化的圖。
[圖7]是針對圖5所示的光譜,表示從波長λa的光強度I(λa)與波長λb的光強度I(λb)求取的振幅強度(I(λa)-I(λb))的時間變化的圖。
[圖8A]是針對圖5所示的光譜,在波長方向進行1次微分處理而取得的1次微分光譜。
[圖8B]是表示圖8A所示的1次微分光譜的振幅強度的時間變化的圖。
[圖9A]是針對圖5所示的光譜,在波長方向進行2次微分處理而取得的2次微分光譜。
[圖9B]是表示圖9A所示的2次微分光譜的振幅強度的時間變化的圖。
[圖10]是判定被處理膜的橫方向蝕刻的終點的流程圖。
[圖11A]是在檢測部檢測來自晶圓的反射光的光譜。 [圖11B]是用在FFT處理的光譜資料。 [圖11C]是藉由FFT處理取得的功率譜。 [圖12]是表示功率譜的時間變化的圖。 [圖13]是表示功率譜的峰值的時間變化的圖。 [圖14]是電漿處理裝置的概略構成圖。 [圖15]是用以說明比較例的蝕刻量測定方法的原理的圖。
1:電漿處理裝置
10:處理室
12:電漿
14:試料台
16:晶圓
18:光源部
20,26:透鏡
22:照射光
24:反射光
28:檢測部
30:終點判定部
31:顯示部
40:控制部

Claims (15)

  1. 一種電漿處理裝置,係對於在基板上形成有交替地在上下方向層疊絕緣膜及含金屬的被處理膜之多層膜的晶圓進行前述被處理膜的電漿蝕刻之電漿處理裝置,其特徵係具有:被配置於真空容器內的處理室;被配置於前述處理室內,載置前述晶圓的試料台;檢測出被照射於前述晶圓的光在前述晶圓反射後的反射光之檢測部;控制對前述晶圓的電漿處理之控制部;及根據有關從前述反射光檢測出的複數的波長的光譜的前述波長方向的振動的振幅的變化,判定前述被處理膜的橫方向的蝕刻的終點之終點判定部,前述控制部,係接受前述終點判定部之終點的判定,停止對前述晶圓的電漿處理。
  2. 如請求項1之電漿處理裝置,其中,具有:光源、及被配置於前述處理室的頂面的第1透鏡和第2透鏡,來自前述光源的光係通過前述第1透鏡來照射至前述晶圓,在前述第2透鏡受光的前述反射光會在前述檢測部被檢測出。
  3. 如請求項2之電漿處理裝置,其中,前述控制部,係在前述處理室內未產生電漿的時機,使來自前述光源的光照射至前述晶圓。
  4. 如請求項1之電漿處理裝置,其中,具有被配置於前述處理室的頂面之透鏡,來自在前述處理室內產生的電漿之光會被照射至前述晶圓,在前述透鏡受光的前述反射光會在前述檢測部被檢測出。
  5. 如請求項1之電漿處理裝置,其中,前述終點判定部,係當第1波長的前述反射光的強度與第2波長的前述反射光的強度的強度比形成預定的設定值以上時,判定對前述被處理膜的蝕刻的終點,前述第1波長及前述第2波長係分別被設定為成為前述反射光的光譜的波長方向的振動的山及谷的波長。
  6. 如請求項1之電漿處理裝置,其中,前述終點判定部,係當第1波長的前述反射光的強度與第2波長的前述反射光的強度的差形成預定的設定值以上時,判定對前述被處理膜的蝕刻的終點,前述第1波長及前述第2波長係分別被設定為成為前述反射光的光譜的波長方向的振動的山及谷的波長。
  7. 如請求項1之電漿處理裝置,其中,前述終點判定部,係算出前述反射光的光譜在波長方向進行1次微分處理或2次微分處理後的微分光譜,根據前述微分光譜的波長方向的振動的振幅的變化,判定對前述被處理膜的蝕刻的終點。
  8. 如請求項1之電漿處理裝置,其中,前述終點判定部,係算出前述反射光的光譜的功率譜,當對應 於前述反射光的光譜的波長方向的振動的振動頻率之前述功率譜的峰值形成預定的設定值以上時,判定對前述被處理膜的蝕刻的終點。
  9. 如請求項1之電漿處理裝置,其中,前述絕緣膜為氧化矽膜,前述被處理膜為鎢膜。
  10. 一種電漿處理方法,係使用電漿處理裝置,對於在基板上形成有在上下方向交替地層疊絕緣膜及含金屬的被處理膜之多層膜的晶圓進行前述被處理膜的電漿蝕刻之電漿處理方法,該電漿處理裝置係具備:被配置於真空容器內的處理室;被配置於前述處理室內,載置前述晶圓的試料台;檢測部;控制對前述晶圓的電漿處理之控制部;及判定對前述晶圓的電漿蝕刻的終點之終點判定部,其特徵為:前述檢測部,係檢測出被照射至前述晶圓的光在前述晶圓反射後的反射光,前述終點判定部,係根據有關從前述反射光檢測出的複數的波長的光譜的前述波長方向的振動的振幅的變化,判定前述被處理膜的朝橫方向的蝕刻的終點,前述控制部,係接受前述終點判定部之終點的判定,停止對前述晶圓的電漿處理。
  11. 如請求項10之電漿處理方法,其中,前 述電漿處理裝置係具備光源,前述控制部,係在前述處理室內未產生電漿的時機,使來自前述光源的光照射至前述晶圓。
  12. 如請求項10之電漿處理方法,其中,前述終點判定部,係當第1波長的前述反射光的強度與第2波長的前述反射光的強度的強度比或前述第1波長的前述反射光的強度與前述第2波長的前述反射光的強度的差形成預定的設定值以上時,判定對前述被處理膜的蝕刻的終點,前述第1波長及前述第2波長係分別被設定為成為前述反射光的光譜的波長方向的振動的山及谷的波長。
  13. 如請求項10之電漿處理方法,其中,前述終點判定部,係算出前述反射光的光譜在波長方向進行1次微分處理或2次微分處理後的微分光譜,根據前述微分光譜的波長方向的振動的振幅的變化,判定對前述被處理膜的蝕刻的終點。
  14. 如請求項10之電漿處理方法,其中,前述終點判定部,係算出前述反射光的光譜的功率譜,當對應於前述反射光的光譜的波長方向的振動的振動頻率之前述功率譜的峰值形成預定的設定值以上時,判定對前述被處理膜的蝕刻的終點。
  15. 如請求項10之電漿處理方法,其中,前述絕緣膜為氧化矽膜,前述被處理膜為鎢膜。
TW110121684A 2020-06-16 2021-06-15 電漿處理裝置及電漿處理方法 TWI785649B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/JP2020/023526 WO2021255812A1 (ja) 2020-06-16 2020-06-16 プラズマ処理装置およびプラズマ処理方法
WOPCT/JP2020/023526 2020-06-16

Publications (2)

Publication Number Publication Date
TW202201533A TW202201533A (zh) 2022-01-01
TWI785649B true TWI785649B (zh) 2022-12-01

Family

ID=79178431

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110121684A TWI785649B (zh) 2020-06-16 2021-06-15 電漿處理裝置及電漿處理方法

Country Status (6)

Country Link
US (1) US11875978B2 (zh)
JP (1) JP7110492B2 (zh)
KR (1) KR102629845B1 (zh)
CN (1) CN114080662A (zh)
TW (1) TWI785649B (zh)
WO (1) WO2021255812A1 (zh)

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201438094A (zh) * 2013-03-29 2014-10-01 Hitachi High Tech Corp 電漿處理裝置及電漿處理方法
TW201546897A (zh) * 2013-02-12 2015-12-16 Hitachi High Tech Corp 電漿處理裝置
TW201642343A (zh) * 2015-05-25 2016-12-01 日立全球先端科技股份有限公司 電漿處理裝置及電漿處理方法
TW201812898A (zh) * 2016-09-05 2018-04-01 日立全球先端科技股份有限公司 電漿處理裝置及電漿處理方法
TW201841189A (zh) * 2017-03-21 2018-11-16 日立全球先端科技股份有限公司 電漿處理裝置及電漿處理方法

Family Cites Families (72)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4675072A (en) 1986-06-25 1987-06-23 International Business Machines Corporation Trench etch endpoint detection by LIF
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
KR100263406B1 (ko) * 1993-08-23 2000-11-01 히가시 데쓰로 플라즈마처리의종점검지방법및장치
US5712592A (en) 1995-03-06 1998-01-27 Applied Materials, Inc. RF plasma power supply combining technique for increased stability
US5770922A (en) 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
JP2001516963A (ja) 1997-09-17 2001-10-02 東京エレクトロン株式会社 ガスプラズマ処理を監視しかつ管理するためのシステムおよび方法
US6153115A (en) 1997-10-23 2000-11-28 Massachusetts Institute Of Technology Monitor of plasma processes with multivariate statistical analysis of plasma emission spectra
JP3565311B2 (ja) 1997-12-17 2004-09-15 アルプス電気株式会社 プラズマ処理装置
US6265831B1 (en) 1999-03-31 2001-07-24 Lam Research Corporation Plasma processing method and apparatus with control of rf bias
JP4567828B2 (ja) 1999-09-14 2010-10-20 東京エレクトロン株式会社 終点検出方法
US6449038B1 (en) * 1999-12-13 2002-09-10 Applied Materials, Inc. Detecting a process endpoint from a change in reflectivity
JP2001185542A (ja) 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
US6824813B1 (en) 2000-04-06 2004-11-30 Applied Materials Inc Substrate monitoring method and apparatus
JP3593492B2 (ja) 2000-06-13 2004-11-24 株式会社日立製作所 プラズマ処理方法
JP3854810B2 (ja) 2000-06-20 2006-12-06 株式会社日立製作所 発光分光法による被処理材の膜厚測定方法及び装置とそれを用いた被処理材の処理方法及び装置
US6746616B1 (en) 2001-03-27 2004-06-08 Advanced Micro Devices, Inc. Method and apparatus for providing etch uniformity using zoned temperature control
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
US6677711B2 (en) 2001-06-07 2004-01-13 Lam Research Corporation Plasma processor method and apparatus
US6903826B2 (en) 2001-09-06 2005-06-07 Hitachi, Ltd. Method and apparatus for determining endpoint of semiconductor element fabricating process
JP3694662B2 (ja) 2001-09-17 2005-09-14 株式会社日立製作所 半導体素子製造プロセスにおける膜の処理量測定方法と装置、及びそれを用いた被処理材の処理方法と装置、及びそれを用いたプロセスの終点判定方法と装置
US7084832B2 (en) 2001-10-09 2006-08-01 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
US6716300B2 (en) * 2001-11-29 2004-04-06 Hitachi, Ltd. Emission spectroscopic processing apparatus
JP3890254B2 (ja) 2002-05-07 2007-03-07 沖電気工業株式会社 半導体装置の製造方法
JP2003347278A (ja) 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6919689B2 (en) 2002-09-26 2005-07-19 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR100710923B1 (ko) 2004-06-02 2007-04-23 동경 엘렉트론 주식회사 플라즈마 처리장치 및 임피던스 조정방법
US20060037704A1 (en) 2004-07-30 2006-02-23 Tokyo Electron Limited Plasma Processing apparatus and method
CN102184830B (zh) 2004-07-30 2012-07-25 东京毅力科创株式会社 等离子体处理装置以及等离子体处理方法
JP4699127B2 (ja) 2004-07-30 2011-06-08 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US7625824B2 (en) 2005-06-16 2009-12-01 Oerlikon Usa, Inc. Process change detection through the use of evolutionary algorithms
JP4628900B2 (ja) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5041713B2 (ja) 2006-03-13 2012-10-03 東京エレクトロン株式会社 エッチング方法およびエッチング装置、ならびにコンピュータ読取可能な記憶媒体
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
JP4914119B2 (ja) 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP5426811B2 (ja) 2006-11-22 2014-02-26 パール工業株式会社 高周波電源装置
US8129283B2 (en) 2007-02-13 2012-03-06 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
JP4882824B2 (ja) 2007-03-27 2012-02-22 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US20100330805A1 (en) 2007-11-02 2010-12-30 Kenny Linh Doan Methods for forming high aspect ratio features on a substrate
JP2010016124A (ja) 2008-07-02 2010-01-21 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP2010129884A (ja) * 2008-11-28 2010-06-10 Sharp Corp エッチング終点検出装置およびその方法
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
JP5199981B2 (ja) 2009-11-04 2013-05-15 東京エレクトロン株式会社 エッチング深さの検出方法並びにエッチングモニター装置及びエッチング装置
US20110136346A1 (en) 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
JP2011228436A (ja) 2010-04-19 2011-11-10 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP5933222B2 (ja) 2011-11-08 2016-06-08 東京エレクトロン株式会社 温度制御方法、制御装置及びプラズマ処理装置
JP5808697B2 (ja) 2012-03-01 2015-11-10 株式会社日立ハイテクノロジーズ ドライエッチング装置及びドライエッチング方法
JP2014007370A (ja) 2012-06-01 2014-01-16 Tokyo Electron Ltd プラズマエッチング方法
JP2014082354A (ja) 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
US9287124B2 (en) 2013-08-30 2016-03-15 Applied Materials, Inc. Method of etching a boron doped carbon hardmask
JP2015056519A (ja) 2013-09-12 2015-03-23 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
KR102132361B1 (ko) 2013-11-06 2020-07-10 매슨 테크놀로지 인크 수직 앤에이앤디 디바이스에 대한 새로운 마스크 제거 방법
JP6277004B2 (ja) 2014-01-31 2018-02-07 株式会社日立ハイテクノロジーズ ドライエッチング方法
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
JP2015185594A (ja) 2014-03-20 2015-10-22 株式会社日立ハイテクノロジーズ エッチング装置
US9390923B2 (en) 2014-07-03 2016-07-12 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process
US9418869B2 (en) 2014-07-29 2016-08-16 Lam Research Corporation Method to etch a tungsten containing layer
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
JP6316224B2 (ja) 2015-02-17 2018-04-25 東芝メモリ株式会社 半導体製造装置および半導体装置の製造方法
JP6523732B2 (ja) 2015-03-26 2019-06-05 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9852923B2 (en) 2015-04-02 2017-12-26 Applied Materials, Inc. Mask etch for patterning
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
WO2017154407A1 (ja) 2016-03-28 2017-09-14 株式会社 日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
SG11201901207TA (en) 2016-09-14 2019-04-29 Mattson Tech Inc Strip process for high aspect ratio structure
JP2018046185A (ja) 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
JP6878853B2 (ja) * 2016-11-28 2021-06-02 住友電気工業株式会社 半導体素子を作製する方法
JP6772117B2 (ja) 2017-08-23 2020-10-21 株式会社日立ハイテク エッチング方法およびエッチング装置
WO2020031224A1 (ja) * 2018-08-06 2020-02-13 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマアッシング装置

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201546897A (zh) * 2013-02-12 2015-12-16 Hitachi High Tech Corp 電漿處理裝置
TW201438094A (zh) * 2013-03-29 2014-10-01 Hitachi High Tech Corp 電漿處理裝置及電漿處理方法
TW201642343A (zh) * 2015-05-25 2016-12-01 日立全球先端科技股份有限公司 電漿處理裝置及電漿處理方法
TW201812898A (zh) * 2016-09-05 2018-04-01 日立全球先端科技股份有限公司 電漿處理裝置及電漿處理方法
TW201841189A (zh) * 2017-03-21 2018-11-16 日立全球先端科技股份有限公司 電漿處理裝置及電漿處理方法

Also Published As

Publication number Publication date
CN114080662A (zh) 2022-02-22
WO2021255812A1 (ja) 2021-12-23
US11875978B2 (en) 2024-01-16
JP7110492B2 (ja) 2022-08-01
KR20210157394A (ko) 2021-12-28
US20230096723A1 (en) 2023-03-30
KR102629845B1 (ko) 2024-01-29
TW202201533A (zh) 2022-01-01
JPWO2021255812A1 (zh) 2021-12-23

Similar Documents

Publication Publication Date Title
KR102023444B1 (ko) 플라스마 처리 장치 및 플라스마 처리 방법
US10665516B2 (en) Etching method and plasma processing apparatus
JP4563584B2 (ja) プラズマ・エッチング工程の精度を改善する方法および装置
US7662646B2 (en) Plasma processing method and plasma processing apparatus for performing accurate end point detection
KR20020000102A (ko) 발광분광법에 의한 피처리재의 막두께 측정방법 및 그것을사용한 피처리재의 처리방법
JP2001085388A (ja) 終点検出方法
KR20170107094A (ko) 광학적 메트롤로지 및 센서 디바이스를 이용한 에칭 프로세스 제어 방법 및 시스템
US7738976B2 (en) Monitoring method of processing state and processing unit
JP2002081917A (ja) 発光分光法による被処理材の膜厚測定方法及び装置とそれを用いた被処理材の処理方法及び装置
US6905624B2 (en) Interferometric endpoint detection in a substrate etching process
KR20100078097A (ko) 막의 두께 산출 방법 및 이를 이용한 막 형성 방법
KR20060100452A (ko) 인 시츄 기판 온도 모니터링을 위한 방법 및 장치
TWI785649B (zh) 電漿處理裝置及電漿處理方法
US10541184B2 (en) Optical emission spectroscopic techniques for monitoring etching
JP4068986B2 (ja) 試料のドライエッチング方法及びドライエッチング装置
TWI431685B (zh) Plasma processing device and plasma processing method
JP2006119145A (ja) 半導体ウエハの処理方法及び処理装置
JP2005123641A (ja) エッチング処理装置及び処理方法
JPH0766173A (ja) プラズマ処理方法
JP2000124198A (ja) プラズマエッチング装置及びプラズマエッチング方法
KR100733120B1 (ko) 반도체 웨이퍼처리의 검출방법 및 검출장치
JP2005303088A (ja) プラズマ処理装置及びレジストトリミング方法
JP2005340547A (ja) プラズマ処理装置
JPH05259127A (ja) プラズマエッチングにおけるエッチング監視方法
KR20030087804A (ko) 반도체 애싱설비의 스트립불량 감지장치 및 그 방법