KR20210157394A - 플라스마 처리 장치 및 플라스마 처리 방법 - Google Patents

플라스마 처리 장치 및 플라스마 처리 방법 Download PDF

Info

Publication number
KR20210157394A
KR20210157394A KR1020217026679A KR20217026679A KR20210157394A KR 20210157394 A KR20210157394 A KR 20210157394A KR 1020217026679 A KR1020217026679 A KR 1020217026679A KR 20217026679 A KR20217026679 A KR 20217026679A KR 20210157394 A KR20210157394 A KR 20210157394A
Authority
KR
South Korea
Prior art keywords
light
wafer
end point
wavelength
reflected light
Prior art date
Application number
KR1020217026679A
Other languages
English (en)
Other versions
KR102629845B1 (ko
Inventor
츠바사 오카모토
다테히토 우스이
미야코 마츠이
시게루 나카모토
나오히로 가와모토
아츠시 세키구치
Original Assignee
주식회사 히타치하이테크
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 주식회사 히타치하이테크 filed Critical 주식회사 히타치하이테크
Publication of KR20210157394A publication Critical patent/KR20210157394A/ko
Application granted granted Critical
Publication of KR102629845B1 publication Critical patent/KR102629845B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/10Measuring as part of the manufacturing process
    • H01L22/12Measuring as part of the manufacturing process for structural parameters, e.g. thickness, line width, refractive index, temperature, warp, bond strength, defects, optical inspection, electrical measurement of structural dimensions, metallurgic measurement of diffusions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Chemical & Material Sciences (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Treatment Of Fiber Materials (AREA)
  • Plasma Technology (AREA)

Abstract

기판 상에 절연막과 금속을 포함하는 피처리막이 번갈아 적층되는 다층막이 형성된 웨이퍼(16)에 대해서, 피처리막의 플라스마 에칭을 행하는 플라스마 처리 장치(1)로서, 진공 용기 내에 배치되는 처리실(10)과, 처리실 내에 배치되고, 웨이퍼가 재치(載置)되는 시료대(14)와, 웨이퍼에 조사된 광이 웨이퍼에서 반사한 반사광을 검출하는 검출부(28)와, 웨이퍼에의 플라스마 처리를 제어하는 제어부(40)와, 반사광의 광스펙트럼의 파장 방향의 진동의 진폭의 변화에 의거해, 피처리막에의 에칭의 종점을 판정하는 종점 판정부(30)를 갖고, 제어부는, 종점 판정부에 의한 종점의 판정을 받고, 웨이퍼에의 플라스마 처리를 정지한다.

Description

플라스마 처리 장치 및 플라스마 처리 방법
본 발명은, 플라스마 처리 장치 및 그를 이용한 플라스마 처리 방법에 관한 것이다.
특허문헌 1에는, 에칭 처리의 수율을 향상시키기 위해서, 처리 대상의 막의 나머지 두께를 정밀하게 검출하는 기술이 개시되어 있다. 에칭 처리 대상은, 하지(下地)막 상에 피처리막이 적층된 막구조를 갖는 웨이퍼이고, 플라스마로부터의 광이 막구조에 조사됨에 의해, 막 간의 경계, 계면부에서 반사해서 반사광을 발생시킨다. 하지막으로부터의 반사광과 피처리막으로부터의 반사광 사이에는 광로차가 생기기 때문에, 간섭광이 형성된다. 간섭광의 강도는, 에칭의 진행에 수반하여, 피처리막의 나머지 두께가 감소함에 따라서 변화한다. 그래서, 특허문헌 1 개시의 기술에 있어서는, 처리 중에 얻어지는 웨이퍼로부터의 간섭광 강도의 실제 패턴 데이터와, 미리 참조용으로서 준비한 간섭광 강도의 실제 패턴 데이터를 비교한 결과를 이용해서, 웨이퍼 처리 중의 임의의 시각에 있어서의 피처리막의 에칭양을 산출한다.
일본국 특개2016-184638호 공보
특허문헌 1에 있어서 에칭 처리의 피처리막으로서 상정되고 있는 것은 평면적으로 형성된 막, 혹은 웨이퍼의 최상층에 형성된 막이다. 이에 대해서, 3차원화가 진행된 반도체 디바이스에 있어서는, 2종류 이상의 막이 서로 적층된 다층막(적층 구조체)에 대해서, 그 중의 1종류의 막을 선택적으로 횡방향으로 에칭하는 프로세스를 갖는 경우가 있다. 예를 들면, 차세대 3D-NAND 플래시 메모리의 게이트 전극을 형성하는 공정에는, 텅스텐막과 절연막의 적층 구조체에 형성된 고(高)어스펙트비의 미세한 폭의 홈으로부터, 텅스텐막을 횡방향(홈의 상하 깊이 방향에 대한 수평 방향)으로 에칭하는 처리가 포함되어 있다. 종래, 이와 같은 횡방향 에칭에 대해서는, 에칭을 행하는 시간에 의해서, 에칭양을 제어하고 있었다. 에칭양은, 에칭 전후의 웨이퍼의 무게의 차이에 의해서 계측할 수 있다. 그러나, 반도체 디바이스의 미세화에 의해, 예를 들면, 원자층 레벨의 높은 정밀도로 텅스텐막을 에칭하는 것이 요구된다고 하면, 시간 제어로는 이제 곤란하고, 에칭양을 모니터해서, 에칭의 종점을 판정하는 것이 필요할 것으로 생각된다.
본원에 있어서 개시되는 발명 중, 대표적인 것의 개요를 간단히 설명하면, 다음과 같다.
기판 상에 절연막과 금속을 포함하는 피처리막이 번갈아 적층되는 다층막이 형성된 웨이퍼에 대해서, 피처리막의 플라스마 에칭을 행하는 플라스마 처리 장치로서, 진공 용기 내에 배치되는 처리실과, 처리실 내에 배치되고, 웨이퍼가 재치(載置)되는 시료대와, 웨이퍼에 조사된 광이 웨이퍼에서 반사한 반사광을 검출하는 검출부와, 웨이퍼에의 플라스마 처리를 제어하는 제어부와, 반사광의 광스펙트럼의 파장 방향의 진동의 진폭의 변화에 의거해, 피처리막에의 에칭의 종점을 판정하는 종점 판정부를 갖고, 제어부는, 종점 판정부에 의한 종점의 판정을 받고, 웨이퍼에의 플라스마 처리를 정지한다.
본원에 있어서 개시되는 발명 중, 대표적인 것에 의해서 얻어지는 효과를 간단히 설명하면 다음과 같다. 피처리막의 에칭양을 처리 중에 모니터링해서 종점 판정이 가능해짐에 의해, 에칭 처리의 수율을 향상시킬 수 있다.
그 밖의 과제와 신규의 특징은, 본 명세서의 기술 및 첨부 도면으로부터 명백해질 것이다.
도 1은 플라스마 처리 장치의 개략 구성도.
도 2는 다층막의 횡방향 에칭 처리의 개략을 나타내는 플로차트.
도 3은 피처리막의 횡방향 에칭의 종점을 판정하는 플로차트.
도 4a는 횡방향 에칭 전의 적층 구조체의 종단면도.
도 4b는 횡방향 에칭이 진행 도중인 적층 구조체의 종단면도.
도 4c는 횡방향 에칭 후의 적층 구조체의 종단면도.
도 5는 횡방향 에칭 중의 적층 구조체에 외부광을 조사함에 의해 검출되는 광스펙트럼.
도 6은 도 5에 나타낸 광스펙트럼에 대하여, 파장 λa의 광강도 I(λa)와 파장 λb의 광강도 I(λb)의 강도비(I(λa)/I(λb))의 시간 변화를 나타내는 도면.
도 7은 도 5에 나타낸 광스펙트럼에 대하여, 파장 λa의 광강도 I(λa)와 파장 λb의 광강도 I(λb)로부터 구한 진폭 강도(I(λa)-I(λb))의 시간 변화를 나타내는 도면.
도 8a는 도 5에 나타낸 광스펙트럼에 대하여 파장 방향으로 1차 미분 처리를 행해서 얻은 1차 미분 광스펙트럼.
도 8b는 도 8a에 나타낸 1차 미분 광스펙트럼의 진폭 강도의 시간 변화를 나타내는 도면.
도 9a는 도 5에 나타낸 광스펙트럼에 대하여 파장 방향으로 2차 미분 처리를 행해서 얻은 2차 미분 광스펙트럼.
도 9b는 도 9a에 나타낸 2차 미분 광스펙트럼의 진폭 강도의 시간 변화를 나타내는 도면.
도 10은 피처리막의 횡방향 에칭의 종점을 판정하는 플로차트.
도 11a는 웨이퍼로부터의 반사광을 검출부에서 검출한 광스펙트럼.
도 11b는 FFT 처리에 이용하는 스펙트럼 데이터.
도 11c는 FFT 처리에 의해서 얻어진 파워스펙트럼.
도 12는 파워스펙트럼의 시간 변화를 나타내는 도면.
도 13은 파워스펙트럼의 피크값의 시간 변화를 나타내는 도면.
도 14는 플라스마 처리 장치의 개략 구성도.
도 15는 비교예의 에칭양 측정 방법의 원리를 설명하기 위한 도면.
도 4a∼c에, 반도체 웨이퍼 등의 기판 상에 형성된 적층 구조체에 플라스마 에칭을 실시함에 의한 시간적인 변화를 모식적으로 나타낸다. 도 4a는, 에칭 전의 적층 구조체의 종단면도이다. 하지막(실리콘 기판)(401) 상에, 에칭 처리 대상의 텅스텐막(402)과 절연막인 산화실리콘막(403)이 번갈아 적층된 다층막(적층 구조체)에 높은 어스펙트비의 홈(411)이 형성되어 있다. 도 4b는, 횡방향 에칭이 진행 도중인 적층 구조체의 종단면도이다. 텅스텐막(402)을 에칭하기 위하여 불소를 함유하는 가스를 이용해서 플라스마를 형성한다. 플라스마 중의 불소를 포함하는 반응종(404)이 홈(411) 내에 공급되고, 텅스텐막(402) 표면과 반응해서 텅스텐을 포함하는 반응 생성물(405)이 생성된다. 반응 생성물(405)이 위쪽으로 제거됨에 의해, 텅스텐막(402)의 에칭이 진행된다. 도 4c는, 에칭 후의 적층 구조체의 종단면도이다.
발명자들은, 간섭광 강도를 이용해서 횡방향 에칭의 에칭양을 모니터하는 방법에 대하여 검토했다. 데이터의 취득 방법의 상세에 대해서는 후술하지만, 도 4a∼c에 나타낸 적층 구조체의 횡방향 에칭 중에 외부 광원으로부터의 광(외부광)을 조사해서, 반사광을 검출하면, 도 5와 같은 광스펙트럼(파장마다의 광의 강도를 나타내는 파형)이 관측된다. 도 5는, 횡방향 에칭을 개시하고 나서, 5초 후(얇은 실선), 10초 후(파선), 15초 후(굵은 실선)의 광스펙트럼을 나타내고 있다.
검출되는 파장마다의 광의 강도는, 주로 웨이퍼에 조사된 광원의 파장마다의 광의 강도와 처리 중의 적층 구조체의 분광 반사율에 의해서 결정된다. 에칭 개시 전은, 도 4a에 나타내는 바와 같이, 홈(411)측의 텅스텐막(402)과 산화실리콘막(403)의 단부(端部)의 위치는 일치하고 있다. 텅스텐은 금속으로서의 성질을 갖고, 자외∼적외 영역에 있어서의 각 파장의 반사율이 대략 1이기 때문에, 에칭 개시 전에 있어서는 조사된 대부분의 외부광이 최상층의 텅스텐막에서 반사됨에 의해, 외부광의 광스펙트럼이 거의 그대로 검출된다.
다음으로, 텅스텐막(402)의 횡방향 에칭이 진행된 상태에서는, 도 4b에 나타내는 바와 같이, 산화실리콘과 텅스텐이 경계를 접해서 상하 방향으로 적층된 영역(420)과, 텅스텐이 제거된 영역, 소위, 산화실리콘과 진공이 경계를 접해서 상하 방향으로 적층된 영역(421)이 존재한다. 이 상태에 있어서, 영역(420)에 조사된 외부광의 대부분은, 최상층의 텅스텐막에서 반사된 광이 검출된다. 한편, 영역(421)에 조사된 외부광은, 산화실리콘의 굴절률에 따라서 반사 및 투과한다. 영역(421)은 복수의 막이 적층된 구조이기 때문에, 각층의 산화실리콘막에 있어서 다중 반사가 일어나서, 간섭광으로 되어 검출된다.
간섭광의 강도는, 도 5에 나타내는 바와 같이 파장 방향으로 진동하고, 그 진동의 주기 및 위상은, 다중 반사한 막의 재질 및 각층의 막두께에 의존한다. 영역(421)의 적층막을 구성하는 재질은 산화실리콘과 진공이므로, 검출되는 스펙트럼의 진동의 주기는, 진공은 굴절률 1이기 때문에 무시할 수 있으며, 상하 방향으로 적층된 산화실리콘막의 막두께에 의해서 결정된다.
이상으로부터, 도 4a∼c에 나타내는 횡방향 에칭 중의 적층 구조체에 외부광을 조사함에 의해 검출되는 광스펙트럼은, 영역(420)으로부터의 반사광 강도와 영역(421)으로부터의 간섭광 강도의 합이고, 또한, 적층 구조체의 텅스텐막의 에칭 처리가 진행됨으로써, 영역(420) 및 영역(421)의 폭, 환언하면 웨이퍼 표면에 있어서의 개구율이 변화함에 의해, 횡방향 에칭이 진행됨에 따라서 검출되는 광스펙트럼은, 영역(420)으로부터의 광강도와 영역(421)으로부터의 광강도의 비율이 변화함에 의해서 변화한다. 텅스텐막과 산화실리콘막의 적층 구조에 있어서 텅스텐막을 가공하는 프로세스에서는, 산화실리콘막에 대한 선택비가 매우 높고, 영역(421)의 상하 방향의 각층의 두께는 에칭 처리 중에 변화하지 않기 때문에, 영역(421)으로부터의 간섭광의 파장 방향의 진동의 주기 및 위상은 에칭 기간을 통해 변화하지 않는다.
도 5에 나타내는 바와 같은 에칭 중에 나타나는 광스펙트럼으로부터 횡방향 에칭의 진행 상황을 추정하는 것을 검토한다. 일안(비교예)으로서, 소정의 파장에 있어서의 광강도의 시간 변화를 이용하는 것을 검토한다. 도 15는, 도 5의 광스펙트럼에 대하여, 파장 515㎚(동그라미표), 574㎚(삼각표), 658㎚(사각표)에 있어서의 광강도의 시간 변화를 나타낸 것이다. 전술한 바와 같이, 영역(421)으로부터의 간섭광의 파장 방향의 진동의 주기 및 위상은 에칭 기간을 통해 변화하지 않으므로, 특정 파장에 있어서의 광강도는, 웨이퍼 표면에 있어서의 영역(420)과 영역(421)의 비율에 의해서 결정되는 것으로 된다. 영역(421)으로부터의 단위 면적당의 간섭광 강도에 대해서, 영역(420)으로부터의 단위 면적당의 반사광 강도의 쪽이 크기 때문에, 각 파장에 있어서 광강도는 단조 감소한다.
이와 같이, 이론적으로는, 광스펙트럼에 있어서의, 어느 파장에 있어서의 강도 변화의 시간 변화로부터 에칭의 진행 상황을 검출할 수 있다. 그러나, 실제로는 검출기로부터 검출되는 광강도에는 다양한 노이즈 성분이 가산되어 있기 때문에, 시간 미분 등의 신호 처리를 행해서, 신호 성분과 노이즈 성분을 분리하는 것이 일반적이다. 그러나, 이 경우, 신호 성분이 시간에 대해서 단조 감소하기 때문에, 소정의 파장의 광량에 대해서 시간 미분을 실시하면, 광량의 시간 변화율이 에칭양에 상관없이 일정하기 때문에, 광강도와 횡방향 에칭양의 대응 관계가 사라져 버린다. 이 때문에, 도 15의 관계로부터 횡방향 에칭양을 추정하기에는, 노이즈 성분을 제거하기 위한 신호 처리에 제약을 받아 버리기 때문에, 정밀도면에서의 한계가 있다.
이에 대해서, 본 실시예의 에칭 종점 판정 방법에서는, 광스펙트럼의 파장 방향의 진동을 이용해서 정밀도 좋게 에칭양을 측정한다. 이하, 도면을 참조해서, 에칭양을 정밀도 좋게 제어 가능한 종점 판정부를 구비한 플라스마 처리 장치의 구성, 그 종점 판정 방법에 대하여 설명한다.
(플라스마 처리 장치)
도 1에 플라스마 처리 장치(1)의 개략 구성을 나타낸다. 플라스마 처리 장치(1)는 진공 용기 내부에 배치되는 처리실(10)을 구비하고 있다. 처리실(10)의 위쪽 및 주위에는, 도시하지 않은 동축 케이블과 안테나, 혹은 마이크로파를 전파하는 도파관 등의 전계 발생기, 또는 솔레노이드 코일 등의 자계 발생기가 배치된다. 전계 발생기 또는 자계 발생기에 고주파 전력이 공급되고, 고주파 전계 또는 고주파 자계가 처리실(10) 내부에 공급된다.
또한, 처리실(10)의 천장면에는, 그 내부에 시료대(14) 상면에 놓여서 유지되는 웨이퍼(16)를 처리하기 위한 가스가 도입되는 복수의 도입 구멍이 배치되어 있다. 이들 도입 구멍에는, 도시하지 않은 배관 등의 가스 공급로와 그 상류에 배치되는 유량 조절기(MFC : Mass Flow Controller)를 구비하는 가스 도입 기구가 연결되어 있다.
플라스마 처리되는 웨이퍼(16)는, 시료대(14)의 유전체로 구성된 재치면에 정전기에 의해 흡착되어 유지된다. 웨이퍼(16)의 이면과 시료대(14)의 재치면 사이에는 He 가스 등의 열전달용의 가스가 공급됨에 의해, 웨이퍼(16)와 시료대(14) 사이의 열전도가 촉진되고 있다.
또한, 처리실(10)을 내장하는 진공 용기의 아래쪽에는, 처리실(10) 내부의 가스나 입자를 배기해서 내부를 감압하는 터보 분자 펌프 등의 배기 장치가 배치되어 있다. 배기 장치는, 시료대(14) 아래쪽의 처리실(10)의 저면에 배치된 배기구와 연통되고, 이들 사이의 배기관로에는, 내측의 유로의 단면적을 증감해서 배기의 유량 또는 그 속도를 변화시키는 배기 조절 밸브가 배치되어 있다.
배기 장치의 동작에 의해 감압된 처리실(10)의 내부에, 가스 도입 기구에 의해 에칭 처리용 가스가 도입되고, 배기량과 가스 공급량의 밸런스에 의해, 처리실(10) 내의 압력이 플라스마 처리의 개시에 적합한 압력으로 조절된다. 이 상태에서, 고주파 전계 또는 고주파 자계가 공급되고, 상호 작용에 의해 에칭 처리용 가스의 원자 또는 분자가 전리 또는 해리해서, 처리실(10) 내의 시료대(14) 위쪽의 공간에 플라스마(12)가 형성된다.
본 실시예에서는, 이 플라스마 중의 하전 입자 및 해리해서 높은 반응성(활성)을 갖는 활성종의 입자가 웨이퍼(16)의 상면에 확산 또는 유도되어, 웨이퍼(16)에 형성된 다층막에 있어서의 처리 대상의 막(피처리막)이 에칭 처리(플라스마 처리)된다.
처리실(10) 내에 도입하는 가스의 종류의 선택, 가스의 도입량이나 배기량, 플라스마(12)의 착화, 생성 및 소화, 그를 위한 전계나 자계의 강도나 그 분포의 제어, 웨이퍼(16)의 반송과 시료대(14) 상에서의 유지, 시료대(14) 내에 배치되는 전극에의 바이어스 전위 형성용의 고주파 전력의 공급, 정지 등을 포함하는 플라스마 처리 장치(1)의 동작은, 각각의 동작을 행하는 각 기기와 통신 가능하게 접속된 제어부(40)로부터의 지령 신호에 의거해서 행해진다. 제어부(40)는, 사용자가 원하는 에칭 처리의 결과가 얻어지도록, 각 기기 간의 동기나 타이밍을 조절하는 기능을 갖고 있다.
또한, 본 실시예의 플라스마 처리 장치는, 플라스마 처리되는 웨이퍼(16)의 에칭양을 측정하기 위한 모니터, 종점 판정부를 구비하고 있다. 이들 구성의 상세에 대해서는 후술한다.
(횡방향 에칭 프로세스)
웨이퍼(16) 상에는, 도 4a에 나타낸 바와 같은 텅스텐막을 포함하는 적층막이 형성되어 있는 것으로 한다. 도 2는, 웨이퍼(16)에 형성된 텅스텐막을 포함하는 적층막의 에칭 처리의 개략을 나타내는 플로차트이다.
스텝 201 : 처리실(10)에 불소를 함유하는 유기 가스를 도입한다. 불소를 함유하는 유기 가스로서는, C4F8이나 CHF3, CH2F2, CH3F 등 외에, 이들 가스를 아르곤이나 질소, 산소 등으로 희석한 혼합 가스여도 된다.
스텝 202 : 처리실(10) 내에 플라스마(12)를 생성하고, 불소를 포함하는 유기 가스의 원자 또는 분자가 활성화되어 생성된 CFx 등의 라디칼(활성종)이 웨이퍼(16)의 표면에 공급되고, 텅스텐막의 표면에 부착한다. 여기에서 텅스텐막이란, 텅스텐 단체(單體)막으로 한정되지 않으며, 텅스텐을 포함하는 복수의 원소를 포함하는 금속막이어도 된다. 예를 들면, WN막이나 WSi2막과 같은 것이어도 된다. 라디칼 등의 반응성을 갖는 입자는 부착한 텅스텐막 표면의 재료와 반응해서 플루오로카본층을 형성한다. 형성되는 플루오로카본층의 두께는, 플라스마(12)의 생성 조건이나 시료대(14)의 온도 등의 처리 조건에 의존한다.
텅스텐막의 표면에 생성되는 플루오로카본층의 조성으로서는, CFx 외에, 수소를 함유하는 플라스마를 이용한 경우에 생성되는 CHxFy 등을 들 수 있다. CHxFy는 하이드로플루오로카본층이라 불리지만, 여기에서는 하이드로플루오로카본층도 포함해서, 플루오로카본층이라는 호칭을 이용한다. 텅스텐막의 표면과 플루오로카본층의 경계에는, 텅스텐 및 불소를 포함하며 자기포화성을 가진 중간층이 형성된다. 형성되는 중간층의 두께는 시료대(14) 혹은 웨이퍼(16)의 표면의 온도에 따라서 변화한다. 이 때문에, 중간층의 두께는, 시료대(14)의 온도를 제어함에 의해 조정된다.
스텝 203 : 소정의 두께의 중간층이 형성된 것이 검출기에 의해, 혹은 미리 정해진 시간의 경과의 확인 등에 의해, 제어부(40)에 의해서 확인된 후, 배기 조절 밸브를 제어해서 조압(調壓) 진공 배기 배관의 유로 단면적을 크게 함에 의해 배기량을 증대시키고, 처리실(10) 내부를 크게 감압시켜서 처리실(10) 내에 공급된 불소를 포함하는 유기 가스를 신속히 배기한다. 이에 의해 플루오로카본층의 형성 처리가 종료된다. 이때, 유기 가스와 치환하도록 Ar 등의 불활성 (희)가스를 처리실(10) 내부에 공급하여, 유기 가스의 배출을 촉진시켜도 된다.
스텝 204 : 플루오로카본층과 중간층을 제거하기 위한 반응 가스로서, 산소를 포함하는 가스를 처리실(10) 내에 도입한다. 산소를 포함하는 가스로서는, O2나 O3 등 외에, 이들 가스를 아르곤이나 질소 등으로 희석한 혼합 가스여도 된다.
스텝 205 : 처리실(10) 내에 플라스마(12)를 생성하고, 가스의 원자 또는 분자가 활성화되어 생성된 라디칼(활성종)이 웨이퍼(16)의 표면에 공급된다. 그 결과, 산소의 라디칼을 포함하는 활성종과 플루오로카본층 및 중간층의 반응이 일어나서, 휘발성을 갖는 반응 생성물이 생성된다. 반응 생성물이 그 휘발성 때문에 적층막으로부터 승화 혹은 탈리함에 의해서, 텅스텐막의 표면으로부터 제거된다.
반응 생성물로서는, 예를 들면 CO나 CO2, COFx, WFx, WOxFy 등을 들 수 있다. 웨이퍼(16)로부터 탈리한 이들 반응 생성물의 입자는, 배기 조절 밸브 및 배기 장치의 동작에 의한 처리실(10) 내부의 배기 동작, 이에 의해서 발생하는 처리실(10) 내부의 입자의 흐름에 의해서 처리실(10)로부터 배출된다.
스텝 206 : 처리실(10)로부터 산소를 포함하는 가스를 배기한다.
이상의 스텝 201∼206을 한 그룹으로 한 1사이클에 의해서 플루오로카본층과 함께 중간층이 제거됨에 의해, 텅스텐막이 이들 층의 두께의 분만큼 제거된다.
(모니터)
본 실시예의 플라스마 처리 장치(1)는, 도 1에 나타내는 바와 같이, 플라스마 처리 중에 횡방향 에칭에 의해 형성되는 횡구(橫溝) 깊이를 반영하는 에칭양을 측정하는 모니터를 구비하고 있다. 모니터는, 처리실(10) 내에 조사하는 외부광을 발광하는 광원부(18), 처리실(10)의 천장면에 배치되고, 광파이버로 연결된 광원부(18)로부터의 외부광을 처리실(10) 내의 웨이퍼(16)에 조사하는 렌즈(20), 처리실(10)의 천장면에 배치되고, 처리실(10) 내의 웨이퍼(16)로부터 반사되는 광을 수광하는 렌즈(26), 렌즈(26)와 광파이버로 연결되고, 수광된 광의 강도를 검출하는 검출부(28), 검출부(28)로부터의 검출 신호로부터 플라스마 처리 중의 웨이퍼(16)의 에칭양에 의거하여 에칭의 종점을 판정하는 종점 판정부(30)를 구비하고 있다. 종점 판정부(30)가 행한 종점 판정 정보나 검출 신호로부터 산출되는 에칭양은 표시부(31)에 표시된다.
광원부(18)로부터의 외부광은, 광파이버를 전파해서 렌즈(20)를 통해서 처리실(10)의 천장면으로부터 도입되고, 조사광(22)으로서 웨이퍼(16)의 상면에 조사된다. 광원부(18)의 조사하는 외부광으로서는 자외로부터 적외까지의 연속광을 이용하는 것으로 한다. 또, 연속광을 발광하는 광원이 아니라, 종점 판정 방법에 따라서, 특정 파장의 광을 발광하는 광원을 이용해도 된다. 조사광(22)이 웨이퍼(16)에 조사됨에 의한 반사광(24)은, 검출용의 렌즈(26)에서 집광된다. 도 4a∼c를 이용해서 설명한 바와 같이, 반사광(24)은, 영역(420)으로부터의 반사광과 영역(421)으로부터의 반사광을 포함하고 있다. 렌즈(26)에서 집광된 반사광(24)은 광파이버를 전파해서 검출부(28)에 도입된다.
검출부(28)는 분광기를 구비하고, 도입된 반사광을 분광기에 의해 복수의 파장으로 분리하고, 파장마다 광의 강도를 검출한다. 특정 파장의 광강도로부터 에칭의 종점 판정을 행하는 경우에는, 포토디텍터를 이용하여, 모노크로미터 등을 그 전단(前段)에 마련함에 의해, 특정 파장의 광을 선택적으로 검출할 수 있다. 또한, 광원으로서 특정 파장의 광원을 이용하는 경우에는, 포토디텍터에 의해서 직접 검출하면 된다.
도 1에 나타내는 바와 같이, 렌즈(20) 및 렌즈(26)는 처리실(10)의 천장면에 배치된다. 그러나, 일반적으로 웨이퍼(16)의 바로 위에는 가스 도입구나 반응 가스 등을 처리실(10)에 균등하게 공급하기 위한 샤워플레이트 등이 설치되어 있기 때문에, 렌즈(20) 및 렌즈(26)를 웨이퍼(16)의 바로 위에 배치할 수 없는 경우가 있다. 그와 같은 경우에는, 조사광(22), 반사광(24)의 광로가 방해받지 않도록, 렌즈(20) 및 렌즈(26)를 서로 거리를 두어서 배치한다. 이 경우, 조사광(22)의 광로 및 반사광(24)의 광로(반사광(24)의 광로는, 조사광(22)이 웨이퍼(16)에서 경면(鏡面) 반사되어 형성되는 광로로 함)와 렌즈(20)의 광축 및 렌즈(26)의 광축이 각각 일치하도록, 렌즈를 처리실(10)의 천장면에 경사시켜서 배치하는 것이 바람직하다.
또, 웨이퍼(16)의 바로 위에 렌즈를 배치하는 것이 가능한 경우에는, 조사광(22)과 반사광(24)의 광로가 동일하게 되도록 해서, 렌즈(20)와 렌즈(26)를 하나의 렌즈로 구성해도 된다. 이 경우, 하나의 렌즈의 광축은 웨이퍼(16)에 대해서 수직으로 되고, 조사광(22)은 웨이퍼(16)에 대해서 수직으로 조사되고, 수직으로 반사한 반사광(24)이 검출된다.
또한, 광원부(18), 렌즈(20, 26) 및 검출부(28)의 세트를 복수 구비하고, 웨이퍼(16) 상면의 반경 방향에 대하여 복수의 개소에서 에칭양의 검출, 종점의 판정을 행하는 구성으로 해도 된다. 이 경우, 복수의 개소에서 검출한 에칭양에 대해 가중치를 부여해서 구한 에칭양의 가중치 부여 평균에 의거해, 프로세스의 정지를 제어하는 것이 가능하다.
검출부(28)는, 웨이퍼(16)로부터의 반사광(24)의 강도를 검출하고, 검출 신호는, 종점 판정부(30)에 송신되고, 후술하는 판정 방법에 따라서 횡방향 에칭된 웨이퍼(16)의 에칭의 종점이 판정된다.
(횡방향 에칭 종점 판정 방법)
도 3은, 종점 판정부(30)가 웨이퍼(16)의 피처리막의 횡방향 에칭의 종점을 판정하는 플로차트이다.
본 실시예에 있어서의 횡방향 에칭 종점 판정 방법에서는, 횡방향 에칭 처리 기간 중에 있어서의 광스펙트럼의 파장 방향의 진동의 진폭에 주목한다. 도 5의 예에서는, 대략 500∼600㎚의 파장 범위에 있어서 현저하지만, 파장 방향의 진동의 진폭이, 에칭 기간이 길어짐에 따라서 커지고 있는 것을 알 수 있다. 이 진폭의 확대는, 웨이퍼로부터의 반사광에 차지하는, 영역(421)(도 4b 참조)으로부터의 간섭광의 비율이, 에칭의 진행에 대하여 커지고 있기 때문이라고 생각할 수 있다. 이는, 파장 방향의 진폭의 시간 변화에 의거해서, 횡방향 에칭양을 산출할 수 있는 것을 의미한다. 그래서, 도 3의 플로차트에서는, 웨이퍼 상에 형성된 에칭 대상인 다층막에 대해서, 웨이퍼로부터의 반사광의 광강도를 계측하는 2파장을 설정하고, 2파장의 반사광의 강도비가 소정의 설정값에 달했을 때에 에칭의 종점을 판정한다.
우선, 웨이퍼 상에 형성된 횡방향 에칭 대상인 다층막에 대해서, 광강도를 측정하는 2파장을 설정하고, 웨이퍼의 에칭의 종점으로 하는 2파장의 반사광의 강도비를 설정한다(스텝 301). 이 설정값은 제어부(40)의 HDD(Hard Disk Drive), CD-ROM(Compact Disk-Read only memory)과 같은 외부 기억 장치나 RAM(Random Access Memory), ROM과 같은 반도체 메모리 장치 등의 기억 장치에 기억되고, 제어부(40)에 의해 판독된 설정값의 데이터가 제어부(40)와 통신 가능하게 구성된 종점 판정부(30)에 송신되어, 에칭의 종점의 판정에 이용된다.
여기에서, 모니터하는 2파장은, 2파장에서의 광강도의 비에, 파장 방향의 진폭의 시간 변화가 반영되는 2파장을 선택하면 된다. 단, 광강도의 비의 변화가 크게 나타날수록, 노이즈 등에 대해서 로버스트한 측정을 행할 수 있기 때문에, 도 5의 예이면, 550㎚ 근방의 광스펙트럼의 파장 방향의 진동의 산(山)으로 되는 파장과 골(谷)로 되는 파장을 2개의 파장으로서 선택하는 것이 바람직하다. 이와 같이, 바람직한 파장은, 에칭 대상인 적층 구조체의 재료, 외부 광원의 종류, 즉 외부광(조사광)이 갖는 광스펙트럼의 특성에 따라서 바뀌므로, 측정하는 2파장은 에칭 대상으로 하는 적층 구조체에 따라서 설정한다.
제어부(40)는 웨이퍼의 처리를 개시하고, 처리 중에 얻어지는 웨이퍼로부터의 반사광의 광스펙트럼을 검출부(28)에서 검출한다. 검출된 광스펙트럼은, 종점 판정부(30)에 입력된다(스텝 302). 여기에서, 검출되는 반사광의 광강도는, 에칭 종점 조건으로서 설정한 적어도 2파장의 광강도를 포함하고 있으면 되며, 연속광의 광스펙트럼이어도 되고, 측정 파장으로서 설정된 2파장의 광강도여도 된다.
다음으로, 종점 판정부(30)는, 입력된 2파장의 광강도 데이터에 대하여, 스텝 301에서 설정한 강도비와 같은 계산식에 의해, 강도비(측정 강도비라 함)를 산출한다(스텝 303).
다음으로, 종점 판정부(30)는, 측정 강도비와 설정값을 비교한다(스텝 304). 측정 강도비가 설정값 미만이라고 판정된 경우에는, 스텝 302의 처리로 되돌아간다. 측정 강도비가 설정값 이상이라고 판정되면, 목표의 에칭양에 도달했다고 판정하고, 프로세스를 종료시키는 신호를 제어부(40)에 발신한다(스텝 305).
제어부(40)는 프로세스 종료 신호를 받고, 플라스마 처리 장치(1)의 각 기구에 프로세스 종료하기 위한 지령 신호를 발행한다. 구체적으로는, 고주파 전계 또는 고주파 자계의 생성을 정지하고, 시료대(14) 내의 전극에의 고주파 전력의 공급을 정지함으로써, 플라스마(12)가 소화되어 에칭 처리가 정지된다.
그 후, 웨이퍼(16)의 정전기에 의한 시료대(14)에의 흡착이 해제되고, 처리실(10) 외부로 반송되고, 필요에 따라서 다음의 웨이퍼(16)의 처리가 개시된다. 이때, 웨이퍼에 따라서 에칭 처리의 제어 파라미터가 변경되어도 된다. 플라스마(12)를 소화하지 않고, 복수의 웨이퍼에 대한 에칭 처리를 실행해도 된다.
도 6에, 도 5에 나타낸 광스펙트럼에 대하여, 파장 λa(564㎚)의 광강도 I(λa)와 파장 λb(556㎚)의 광강도 I(λb)의 강도비(I(λa)/I(λb))의 시간 변화를 나타낸다. 또, 이 예에서는, 파장 λa(564㎚)는 진동의 산, 파장 λb(556㎚)는 진동의 골로 되어 있다. 이와 같이, 2파장의 측정 강도비가 에칭 시간에 따라서 단조 증가하고 있는 것이 관측된다. 따라서, 에칭 대상의 적층 구조체에 있어서의 횡방향의 에칭양이 원하는 에칭양에 도달한 시점에 있어서의 2파장의 반사광의 강도비를 미리 조사해서 문턱값으로서 설정해 둠으로써, 에칭 처리의 종점을 판정할 수 있다. 또한, 2파장의 반사광의 강도비의 시간 변화는, 영역(420) 및 영역(421)의 폭(도 4b 참조)의 변화에 대응하고 있기 때문에, 2파장의 반사광의 강도비로부터 그 시점에 있어서의 에칭양을 산출할 수 있다. 이하에 설명하는 다른 종점 판정 방법에 있어서도 마찬가지이다.
도 3에서는 2파장의 반사광의 강도비를 지표로 해서 판정했지만, 이것으로 한정되지 않는다. 2파장 이상의 반사광의 강도비를 지표로 해도 된다. 또한, 강도비로 한정되지 않고, 광스펙트럼의 파장 방향의 진동의 진폭 강도를 지표로 할 수도 있다. 도 7에, 도 5에 나타낸 광스펙트럼에 대하여, 파장 λa(564㎚)의 광강도 I(λa)와 파장 λb(556㎚)의 광강도 I(λb)로부터 구한 진폭 강도(I(λa)-I(λb))의 시간 변화를 나타낸다. 이와 같이, 진폭 강도가 에칭 시간에 따라서 단조 증가하고 있는 것이 관측된다. 따라서, 에칭 대상의 적층 구조체에 있어서의 횡방향의 에칭양이 원하는 에칭양에 도달한 시점에 있어서의 진폭 강도를 미리 조사해서 문턱값으로서 설정해 둠으로써, 에칭 처리의 종점을 판정할 수 있다.
또한, 이상은 검출부에서 검출된 광스펙트럼(광강도)으로부터, 에칭의 종점을 판정하는 예를 설명했지만, 광스펙트럼의 파장 방향의 1차 미분 또는 2차 미분을 이용해서 판정할 수도 있다.
도 8a는, 도 5에 나타낸 광스펙트럼에 대하여 파장 방향으로 1차 미분 처리를 행해서 얻은 스펙트럼(1차 미분 광스펙트럼이라 함)이고, 도 8b에 1차 미분 광스펙트럼의 진폭 강도의 시간 변화를 나타내고 있다. 또, 여기에서는, 진폭 강도를 1차 미분 광스펙트럼의 산에 있어서의 파장 560㎚의 값과 1차 미분 광스펙트럼의 골에 있어서의 파장 554㎚의 값의 차로서 산출하고 있다.
마찬가지로, 도 9a는, 도 5에 나타낸 광스펙트럼에 대하여 파장 방향으로 2차 미분 처리를 행해서 얻은 스펙트럼(2차 미분 광스펙트럼이라 함)이고, 도 9b에 2차 미분 광스펙트럼의 진폭 강도의 시간 변화를 나타내고 있다. 또, 여기에서는, 진폭 강도를 2차 미분 광스펙트럼의 산에 있어서의 파장 544㎚의 값과 2차 미분 광스펙트럼의 골에 있어서의 파장 552㎚의 값의 차로서 산출하고 있다.
이와 같이, 1차 미분 광스펙트럼, 2차 미분 광스펙트럼의 어느 것에 대해서도, 에칭 시간이 길어짐에 따라서 진폭이 증대하고 있는 것을 확인할 수 있다. 따라서, 도 3의 플로차트와 마찬가지의 절차에 따라서, 1차 미분 광스펙트럼 또는 2차 미분 광스펙트럼에 의거해, 에칭 처리의 종점을 판정할 수 있다. 또, 진폭에 의해 판정하는 예를 설명했지만, 마찬가지로 2파장에서의 스펙트럼 강도비에 의거하여 판정하는 것도 가능하다. 단, 이 경우, 1차 미분 광스펙트럼값, 2차 미분 광스펙트럼값이 모두 0을 취할 가능성이 있기 때문에, 미분값이 0으로 되는 파장은 피하고, 미분 광스펙트럼값의 진동의 산, 골로 되는 2파장을 취하는 것이 바람직하다.
또 다른 종점 판정 방법에 대하여, 도 10∼도 13을 이용해서 설명한다. 도 5에 나타나는 바와 같이, 적층 구조체로부터의 반사광의 스펙트럼은, 파장 방향으로 진동하고 있다. 이와 같이 주기성이 있는 스펙트럼에 대해서 고속 푸리에 변환 해석(FFT : Fast Fourier Transform)을 행함으로써, 스펙트럼에 포함되는 모든 주파수 성분을 파워스펙트럼(주파수마다의 파워 밀도)으로서 표현할 수 있다. 전술한 바와 같이, 적층 구조체의 텅스텐막의 횡방향 에칭 처리가 진행됨으로써, 광스펙트럼의 진폭 강도가 증대하고 있기 때문에, 광스펙트럼의 파장 방향의 진동 주파수에 대응하는 파워스펙트럼의 피크값도 증대한다. 따라서, 횡방향 에칭 처리가 진행되어, 도 4c에 나타내는 원하는 막구조로 된 시점에 있어서의, 파워스펙트럼의, 반사광의 스펙트럼의 파장 방향의 진동 주파수에 대응하는 피크 강도의 값을 판정의 문턱값으로 해서, 에칭의 종점을 판정할 수 있다.
도 10은, 종점 판정부(30)가 웨이퍼(16)의 피처리막의 횡방향 에칭의 종점을 판정하는 플로차트이다.
우선, 이하의 사전 설정을 행한다. 에칭의 종점 조건으로 되는, 반사광의 광스펙트럼의 진동 주파수와, 웨이퍼의 에칭의 종점으로 하는 진동 주파수에 대응하는 파워스펙트럼의 피크값을 설정한다(스텝 1001). 또한, 검출부에서 검출되는 광스펙트럼 중, 파워스펙트럼의 산출에 사용하는 파장의 범위를 설정한다(스텝 1002). 파워스펙트럼의 산출에 사용하는 광스펙트럼의 범위를 간섭광에 기인하는 주파수 방향의 진동이 현저하게 나타나 있는 영역으로 한정함에 의해서, 대응하는 피크의 특정을 용이하게 하기 때문이다. 또한, FFT의 샘플링 점수(點數)를 설정한다(스텝 1003). 이들 설정값도 도 3의 플로와 마찬가지로 제어부(40)의 기억 장치에 기억되고, 종점 판정부(30)에 송신되어, 에칭의 종점의 판정에 이용된다.
제어부(40)는 웨이퍼의 처리를 개시하고, 처리 중에 얻어지는 웨이퍼로부터의 반사광의 광스펙트럼을 검출부(28)에서 검출한다. 검출된 광스펙트럼은, 종점 판정부(30)에 입력된다(스텝 1004). 여기에서, 스텝 1005로 진행하기 전에, 파장마다의 광강도 데이터인 광스펙트럼을, 파수(파장의 역수)마다의 광강도 데이터로 변환해서, 스텝 1005 이후의 처리를 행해도 된다. 파수마다의 광강도 데이터로 변환한 광스펙트럼에 대하여 FFT를 행하는 편이, FFT의 정밀도가 좋아지는 것이 알려져 있다.
다음으로, 종점 판정부(30)는, 광스펙트럼에 대해서, 스텝 1002에서 설정한 파장 범위의 광강도 데이터에 대해서 스플라인 보간 처리를 행하여, 스플라인 보간 처리를 행한 광스펙트럼에 대해서, 스텝 1003에서 설정한 FFT의 샘플링 점수의 데이터를 얻는다(스텝 1005). 이때 샘플링은, 샘플링 간격이 등거리로 되도록 행한다.
다음으로, 종점 판정부(30)는, 스텝 1005에서 얻은 샘플링 데이터에 대해서, FFT의 창함수를 곱셈한다(스텝 1006). 여기에서, 창함수로서 해밍함수를 사용하는 예를 나타내고 있지만, 스텝 1002에 있어서 설정한 파장 범위에 있어서의 스펙트럼의 형상을 고려해서 다른 창함수를 사용해도 된다. 또한, 창함수의 곱셈이 불요하면 스텝 1006을 행하지 않고, 스텝 1007로 진행해도 된다.
다음으로, 종점 판정부(30)는, FFT 처리를 행하여, 주파수마다의 파워스펙트럼을 산출한다(스텝 1007).
다음으로, 종점 판정부(30)는, 스텝 1007에서 얻어진 파워스펙트럼에 대하여, 스텝 1001에서 설정한 진동 주파수에 있어서의 파워스펙트럼의 값(피크값)을 판독한다(스텝 1008).
다음으로, 종점 판정부(30)는, 스텝 1008에서 판독한 파워스펙트럼의 값과, 스텝 1001에서 설정한 웨이퍼의 에칭의 종점으로 하는 설정값을 비교한다(스텝 1009). 판독된 파워스펙트럼의 설정값 미만이라고 판정된 경우에는, 스텝 1004의 처리로 되돌아간다. 판독된 파워스펙트럼이 설정값 이상이라고 판정되면, 목표의 에칭양에 도달했다고 판정하고, 프로세스를 종료시키는 신호를 제어부(40)에 발신한다(스텝 1010).
도 11a∼c를 이용해서, 도 10의 플로차트에서의 처리에 대하여 설명한다. 도 11a는, 웨이퍼(16)로부터의 반사광을 검출부에서 검출한 스펙트럼이며, 대략 200∼850㎚의 파장 범위의 광스펙트럼이다. 다음으로, 얻어진 광스펙트럼 데이터를 종점 판정부(30)에 입력하고, 스텝 1002의 설정값에 따라서, 에칭 종점 판정 처리에 사용하는 파장 범위의 광스펙트럼 데이터를 추출한다. 여기에서는, 500∼700㎚가 사용하는 파장 범위로서 설정되어 있다. 도 11b에 당해 파장 범위의 광스펙트럼 데이터를 굵은 실선으로 나타내고 있다. 이 광스펙트럼 데이터에 대해서 스플라인 보간 처리를 행하여, 파장 방향의 데이터수가 스텝 1003의 설정값에 따른 샘플링 점수의 데이터를 생성하고, 스플라인 보간 처리를 행한 샘플링 데이터에 대해서 해밍함수를 곱셈한 스펙트럼 데이터를 생성한다. 도 11b에 스텝 1006에서 얻어지는 스펙트럼 데이터(해밍함수를 곱셈한 스펙트럼 데이터)를 얇은 실선으로 나타내고 있다. 스텝 1007에 있어서 해밍함수를 곱셈한 스펙트럼 데이터에 대해서 FFT 처리를 실행하여, 도 11c에 나타나는 파워스펙트럼을 얻는다. 이 예에서는, 샘플링 포인트(횡축)(12)의 위치에 파워스펙트럼의 피크(피크값=340)가 보인다. 이 샘플링 포인트(횡축)(12)가, 광스펙트럼의 파장 방향의 진동 주파수에 대응하고 있다. 또, 샘플링 포인트의 값이 클수록, 광스펙트럼의 파장 방향의 진동의 주기가 짧은 것을 의미하고 있다. 또한, 피크의 크기(파워스펙트럼 강도)는, FFT 처리 실행 전의 스펙트럼에 보인 파장 방향의 진동의 진폭의 크기에 대응하고 있다.
도 12는, 도 5에 나타낸 각 시각(에칭 처리 개시 후 5, 10, 15초)에 있어서의, 파워스펙트럼의 시간 변화를 나타내고 있다. 각 시각(5초 후 : 흑색 실선, 10초 후 : 파선, 15초 후 : 회색 실선) 모두, 샘플링 포인트(12)의 위치에 스펙트럼의 피크가 보인다. 도 13에, 도 12의 각 시각에 있어서의 파워스펙트럼의 피크값의 값과 시각의 관계를 나타내고 있다. 에칭 시간이 길어짐에 따라서 피크값이 커지고 있는 것을 알 수 있다. 따라서, 도 10의 플로차트의 절차에 따라서, 광스펙트럼의 파워스펙트럼에 의거해, 에칭 처리의 종점을 판정할 수 있다.
또, 전술한 바와 같이, 도 12의 횡축은, 도 5에 나타낸 반사광의 광스펙트럼의 파장 방향의 진동 주파수에 대응하고 있다. 이 파장 방향의 진동 주파수는, 적층 구조체의 산화실리콘막의 두께에 대응하는 것이다. 따라서, 에칭 중에 파워스펙트럼의 피크 위치가 변화되어 있으면, 산화실리콘막의 두께가 변화되어 있다고 판단할 수 있기 때문에, 불량 웨이퍼의 판정도 행할 수 있다. 마찬가지의 이유로, 파워스펙트럼의 피크 위치 부근의 파형으로부터, 당해 막구조에 있어서의 산화실리콘막의 두께의 균일도를 추정할 수도 있다.
이상, 본 실시예에 있어서의 에칭 종점 판정 방법의 예에 대하여 설명했다. 이상, 서술해 온 실시예는 본 발명의 실시형태의 일부의 예에 지나지 않고, 본 발명의 실시형태는 상기로 한정되는 것은 아니며, 다양한 변형이 가능하다.
예를 들면, 플라스마 처리 장치에 있어서는 플라스마 처리 시에 발생하는 플라스마가 발광한다. 이 플라스마로부터의 광(플라스마광이라 함)은, 처리 가스에 의존해서 광스펙트럼은 서로 다르지만, 자외∼가시광 영역의 파장을 포함하고, 외부광의 광스펙트럼의 파장 영역과 겹쳐 있다. 이 때문에, 플라스마 발광 중에 에칭양 모니터를 위한 외부광의 조사를 행하면, 검출부에서 검출되는 광량에는 플라스마 발광의 영향이 합산된다. 구체적으로는, 검출부(28)에서는, 조사광(외부광)의 반사광에 더하여, 플라스마광, 및 플라스마광의 웨이퍼로부터의 반사광이 검출되게 된다. 이 경우에도, 조사광(외부광)의 반사광 및 플라스마광의 반사광은, 파장 방향의 진동의 진폭이 에칭의 진행에 따라서 증대하기 때문에, 본 실시예의 원리에 따라서, 에칭양의 산출, 종점의 판정이 가능하다. 단, 간섭광의 광스펙트럼에 있어서의 파장 방향의 진동에 대해서, 플라스마광의 발광량의 시간 변동이 백그라운드 노이즈로 되어, S/N비를 저하시킬 우려가 있다.
S/N비의 저하를 억제하는 한 방법으로서, 웨이퍼로부터의 간섭광을 극력 검출하지 않는 위치(예를 들면, 처리실(10)의 측면)에 플라스마광의 발광량을 주로 검출하는 검출부를 더 구비하고, 플라스마광의 시간 변동 요인을 제거해서 에칭양의 산출이나 종점의 판정을 행하면 된다. 또한, 다른 방법으로서는, 광원부(18)의 발광 강도를 높이는 것을 생각할 수 있다. 이에 의해, 플라스마광에 의한 간섭광 강도에 대한 외부광에 의한 간섭광 강도의 비를 크게 할 수 있고, 그 결과, 플라스마광의 발광량의 시간 변동에 의한 S/N비의 저하를 억제할 수 있다. 예를 들면, 광원부(외부 광원)로서 LED를 이용하는 경우에는, 플라스마광의 발광 강도의 수배 내지 수십배의 발광 강도의 조사광을 웨이퍼에 조사하는 것이 가능하다. 이들 2개의 방법을 병용해도 된다.
또한 플라스마 처리 장치(1)가 도 2에 나타낸 플라스마 처리에 의해 플라스마 에칭을 행하는 경우에는, 플라스마를 발생시키고 있지 않은 타이밍에 외부광을 조사함에 의해, 플라스마광의 영향을 받지 않고, 에칭양의 산출, 종점의 판정이 가능해진다. 구체적으로는, 도 2의 스텝 206의 배기 처리 시에 있어서는 플라스마가 발생하고 있지 않다. 혹은 스텝 205에 있어서, 반응 생성물을 제거시키는 과정에 있어서는 플라스마를 발생시키고 있지 않다. 그래서, 스텝 206, 혹은 스텝 205의 플라스마를 발생시키고 있지 않은 기간에 있어서 외부광을 웨이퍼에 조사하고, 반사광을 검출함에 의해서, 플라스마광의 영향을 받지 않고, 에칭양의 산출, 종점의 판정을 행하는 것이 가능해진다.
또한, 외부 광원(광원부)은 LED로 한정되지 않는다. 외부광을 웨이퍼에 조사해서 얻어지는 간섭광에 나타나는 파장 방향의 진동이 현저하게 나타나는 파장대는, 에칭 대상인 적층 구조체의 재료, 구조에 따라서 서로 다르기 때문에, 그에 따른 광원을 이용함으로써 정밀도 좋게 에칭양의 모니터링이 가능해진다. 예를 들면, 광원부(18)로서 제논 램프나 할로겐 램프 등을 이용하는 것도 가능하다.
반대로, 플라스마광의 발광대역에서 간섭광의 광스펙트럼에 있어서의 파장 방향의 진동이 강하게 나타나는 경우에는, 외부 광원을 사용하지 않고, 플라스마광의 웨이퍼로부터의 반사광을 검출함에 의해, 에칭양을 산출할 수 있다. 그 경우의 플라스마 처리 장치(1b)의 구성을 도 14에 나타낸다. 도 14에 나타내는 플라스마 처리 장치(1b)에서는, 플라스마광의 시간 변동 요인을 제거하기 위하여, 플라스마광의 발광량을 주로 검출하는 검출부(52)를 처리실(10)의 측면에 마련하여, 종점 판정 정밀도를 향상시키고 있다. 도 1에 나타낸 플라스마 처리 장치(1)와 마찬가지의 구성에 대해서는 같은 부호를 부여해서 나타내고 있다. 렌즈(51)는 주로 플라스마광을 집광하고, 검출부(52)에 의해서 플라스마광의 강도를 측정한다. 플라스마광의 강도의 시간 변동의 영향을 제거하여 에칭양의 산출, 종점 판정 처리를 행함에 의해, 에칭 처리의 정밀도를 향상시키는 것이 가능해진다.
또한, 본 실시예에서는 도 4a∼c에 나타내는 텅스텐막을 포함하는 적층 구조체를 예로 나타냈지만, 금속을 포함하는 막을 피처리막으로 하는 적층 구조체에 대해서 적용 가능하다. 예를 들면, Ni, Co, Mo의 막 혹은 그들의 산화막을 피처리막으로 하는 적층 구조체에 대해서도 마찬가지의 에칭양의 모니터링이 가능하다. 또한, 적층 구조체의 절연막으로서는, 산화실리콘막으로 한정되지 않으며, 산질화실리콘막과 같은 절연막이어도 된다.
1, 1b : 플라스마 처리 장치 10 : 처리실
12 : 플라스마 14 : 시료대
16 : 웨이퍼 18 : 광원부
20, 26, 51 : 렌즈 22 : 조사광
24 : 반사광 28, 52 : 검출부
30 : 종점 판정부 31 : 표시부
40 : 제어부 401 : 하지막
402 : 텅스텐막 403 : 산화실리콘막
404 : 반응종 405 : 반응 생성물
411 : 홈

Claims (15)

  1. 기판 상에 절연막과 금속을 포함하는 피처리막이 번갈아 적층되는 다층막이 형성된 웨이퍼에 대해서, 상기 피처리막의 플라스마 에칭을 행하는 플라스마 처리 장치로서,
    진공 용기 내에 배치되는 처리실과,
    상기 처리실 내에 배치되고, 상기 웨이퍼가 재치(載置)되는 시료대와,
    상기 웨이퍼에 조사된 광이 상기 웨이퍼에서 반사한 반사광을 검출하는 검출부와,
    상기 웨이퍼에의 플라스마 처리를 제어하는 제어부와,
    상기 반사광의 광스펙트럼의 파장 방향의 진동의 진폭의 변화에 의거해, 상기 피처리막에의 에칭의 종점을 판정하는 종점 판정부를 갖고,
    상기 제어부는, 상기 종점 판정부에 의한 종점의 판정을 받고, 상기 웨이퍼에의 플라스마 처리를 정지하는 플라스마 처리 장치.
  2. 제1항에 있어서,
    광원과,
    상기 처리실의 천장면에 배치되는 제1 렌즈 및 제2 렌즈를 갖고,
    상기 광원으로부터의 광은 상기 제1 렌즈를 통해 상기 웨이퍼에 조사되고, 상기 제2 렌즈에서 수광된 상기 반사광이 상기 검출부에서 검출되는 플라스마 처리 장치.
  3. 제2항에 있어서,
    상기 제어부는, 상기 처리실 내에 플라스마가 발생되고 있지 않은 타이밍에, 상기 광원으로부터의 광을 상기 웨이퍼에 조사시키는 플라스마 처리 장치.
  4. 제1항에 있어서,
    상기 처리실의 천장면에 배치되는 렌즈를 갖고,
    상기 처리실 내에 발생된 플라스마로부터의 광이 상기 웨이퍼에 조사되고, 상기 렌즈에서 수광된 상기 반사광이 상기 검출부에서 검출되는 플라스마 처리 장치.
  5. 제1항에 있어서,
    상기 종점 판정부는, 제1 파장에 있어서의 상기 반사광의 강도와 제2 파장에 있어서의 상기 반사광의 강도의 강도비가 소정의 설정값 이상으로 되었을 때에, 상기 피처리막에의 에칭의 종점을 판정하고,
    상기 제1 파장 및 상기 제2 파장은, 각각 상기 반사광의 광스펙트럼의 파장 방향의 진동의 산(山) 및 골(谷)로 되는 파장으로서 설정되는 플라스마 처리 장치.
  6. 제1항에 있어서,
    상기 종점 판정부는, 제1 파장에 있어서의 상기 반사광의 강도와 제2 파장 에 있어서의 상기 반사광의 강도의 차가 소정의 설정값 이상으로 되었을 때에, 상기 피처리막에의 에칭의 종점을 판정하고,
    상기 제1 파장 및 상기 제2 파장은, 각각 상기 반사광의 광스펙트럼의 파장 방향의 진동의 산 및 골로 되는 파장으로서 설정되는 플라스마 처리 장치.
  7. 제1항에 있어서,
    상기 종점 판정부는, 상기 반사광의 광스펙트럼을 파장 방향으로 1차 미분 처리 또는 2차 미분 처리를 행한 미분 광스펙트럼을 산출하고, 상기 미분 광스펙트럼의 파장 방향의 진동의 진폭의 변화에 의거해, 상기 피처리막에의 에칭의 종점을 판정하는 플라스마 처리 장치.
  8. 제1항에 있어서,
    상기 종점 판정부는, 상기 반사광의 광스펙트럼의 파워스펙트럼을 산출하고, 상기 반사광의 광스펙트럼의 파장 방향의 진동의 진동 주파수에 대응하는 상기 파워스펙트럼의 피크값이 소정의 설정값 이상으로 되었을 때에, 상기 피처리막에의 에칭의 종점을 판정하는 플라스마 처리 장치.
  9. 제1항에 있어서,
    상기 절연막은 산화실리콘막이고, 상기 피처리막은 텅스텐막인 플라스마 처리 장치.
  10. 진공 용기 내에 배치되는 처리실과, 상기 처리실 내에 배치되고, 웨이퍼가 재치되는 시료대와, 광 검출부와, 상기 웨이퍼에의 플라스마 처리를 제어하는 제어부와, 상기 웨이퍼에 대한 플라스마 에칭의 종점을 판정하는 종점 판정부를 구비하는 플라스마 처리 장치를 이용하여, 기판 상에 절연막과 금속을 포함하는 피처리막이 번갈아 적층되는 다층막이 형성된 상기 웨이퍼에 대해서, 상기 피처리막의 플라스마 에칭을 행하는 플라스마 처리 방법으로서,
    상기 광 검출부는, 상기 웨이퍼에 조사된 광이 상기 웨이퍼에서 반사한 반사광을 검출하고,
    상기 종점 판정부는, 상기 반사광의 광스펙트럼의 파장 방향의 진동의 진폭의 변화에 의거해, 상기 피처리막에의 에칭의 종점을 판정하고,
    상기 제어부는, 상기 종점 판정부에 의한 종점의 판정을 받고, 상기 웨이퍼에의 플라스마 처리를 정지하는 플라스마 처리 방법.
  11. 제10항에 있어서,
    상기 플라스마 처리 장치는 광원을 구비하고,
    상기 제어부는, 상기 처리실 내에 플라스마가 발생되고 있지 않은 타이밍에, 상기 광원으로부터의 광을 상기 웨이퍼에 조사시키는 플라스마 처리 방법.
  12. 제10항에 있어서,
    상기 종점 판정부는, 제1 파장에 있어서의 상기 반사광의 강도와 제2 파장 에 있어서의 상기 반사광의 강도의 강도비 또는 상기 제1 파장에 있어서의 상기 반사광의 강도와 상기 제2 파장에 있어서의 상기 반사광의 강도의 차가 소정의 설정값 이상으로 되었을 때에, 상기 피처리막에의 에칭의 종점을 판정하고,
    상기 제1 파장 및 상기 제2 파장은, 각각 상기 반사광의 광스펙트럼의 파장 방향의 진동의 산 및 골로 되는 파장으로서 설정되는 플라스마 처리 방법.
  13. 제10항에 있어서,
    상기 종점 판정부는, 상기 반사광의 광스펙트럼을 파장 방향으로 1차 미분 처리 또는 2차 미분 처리를 행한 미분 광스펙트럼을 산출하고, 상기 미분 광스펙트럼의 파장 방향의 진동의 진폭의 변화에 의거해, 상기 피처리막에의 에칭의 종점을 판정하는 플라스마 처리 방법.
  14. 제10항에 있어서,
    상기 종점 판정부는, 상기 반사광의 광스펙트럼의 파워스펙트럼을 산출하고, 상기 반사광의 광스펙트럼의 파장 방향의 진동의 진동 주파수에 대응하는 상기 파워스펙트럼의 피크값이 소정의 설정값 이상으로 되었을 때에, 상기 피처리막에의 에칭의 종점을 판정하는 플라스마 처리 방법.
  15. 제10항에 있어서,
    상기 절연막은 산화실리콘막이고, 상기 피처리막은 텅스텐막인 플라스마 처리 방법.
KR1020217026679A 2020-06-16 2020-06-16 플라스마 처리 장치 및 플라스마 처리 방법 KR102629845B1 (ko)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
PCT/JP2020/023526 WO2021255812A1 (ja) 2020-06-16 2020-06-16 プラズマ処理装置およびプラズマ処理方法

Publications (2)

Publication Number Publication Date
KR20210157394A true KR20210157394A (ko) 2021-12-28
KR102629845B1 KR102629845B1 (ko) 2024-01-29

Family

ID=79178431

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020217026679A KR102629845B1 (ko) 2020-06-16 2020-06-16 플라스마 처리 장치 및 플라스마 처리 방법

Country Status (6)

Country Link
US (1) US11875978B2 (ko)
JP (1) JP7110492B2 (ko)
KR (1) KR102629845B1 (ko)
CN (1) CN114080662A (ko)
TW (1) TWI785649B (ko)
WO (1) WO2021255812A1 (ko)

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100263406B1 (ko) * 1993-08-23 2000-11-01 히가시 데쓰로 플라즈마처리의종점검지방법및장치
US20040045933A1 (en) * 2001-11-29 2004-03-11 Tetsunori Kaji Plasma processing method using spectroscopic processing unit
JP2010129884A (ja) * 2008-11-28 2010-06-10 Sharp Corp エッチング終点検出装置およびその方法
JP2016184638A (ja) 2015-03-26 2016-10-20 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法

Family Cites Families (73)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4675072A (en) 1986-06-25 1987-06-23 International Business Machines Corporation Trench etch endpoint detection by LIF
US5195045A (en) 1991-02-27 1993-03-16 Astec America, Inc. Automatic impedance matching apparatus and method
US5712592A (en) 1995-03-06 1998-01-27 Applied Materials, Inc. RF plasma power supply combining technique for increased stability
US5770922A (en) 1996-07-22 1998-06-23 Eni Technologies, Inc. Baseband V-I probe
CN1299226C (zh) 1997-09-17 2007-02-07 东京电子株式会社 用于监视和控制气体等离子体处理的系统和方法
US6153115A (en) 1997-10-23 2000-11-28 Massachusetts Institute Of Technology Monitor of plasma processes with multivariate statistical analysis of plasma emission spectra
JP3565311B2 (ja) 1997-12-17 2004-09-15 アルプス電気株式会社 プラズマ処理装置
US6265831B1 (en) 1999-03-31 2001-07-24 Lam Research Corporation Plasma processing method and apparatus with control of rf bias
JP4567828B2 (ja) 1999-09-14 2010-10-20 東京エレクトロン株式会社 終点検出方法
US6449038B1 (en) * 1999-12-13 2002-09-10 Applied Materials, Inc. Detecting a process endpoint from a change in reflectivity
JP2001185542A (ja) 1999-12-27 2001-07-06 Hitachi Ltd プラズマ処理装置及びそれを用いたプラズマ処理方法
US6824813B1 (en) 2000-04-06 2004-11-30 Applied Materials Inc Substrate monitoring method and apparatus
JP3593492B2 (ja) 2000-06-13 2004-11-24 株式会社日立製作所 プラズマ処理方法
JP3854810B2 (ja) 2000-06-20 2006-12-06 株式会社日立製作所 発光分光法による被処理材の膜厚測定方法及び装置とそれを用いた被処理材の処理方法及び装置
US6746616B1 (en) 2001-03-27 2004-06-08 Advanced Micro Devices, Inc. Method and apparatus for providing etch uniformity using zoned temperature control
JP4819244B2 (ja) 2001-05-15 2011-11-24 東京エレクトロン株式会社 プラズマ処理装置
US6677711B2 (en) 2001-06-07 2004-01-13 Lam Research Corporation Plasma processor method and apparatus
US6903826B2 (en) 2001-09-06 2005-06-07 Hitachi, Ltd. Method and apparatus for determining endpoint of semiconductor element fabricating process
JP3694662B2 (ja) 2001-09-17 2005-09-14 株式会社日立製作所 半導体素子製造プロセスにおける膜の処理量測定方法と装置、及びそれを用いた被処理材の処理方法と装置、及びそれを用いたプロセスの終点判定方法と装置
US7084832B2 (en) 2001-10-09 2006-08-01 Plasma Control Systems, Llc Plasma production device and method and RF driver circuit with adjustable duty cycle
JP3890254B2 (ja) 2002-05-07 2007-03-07 沖電気工業株式会社 半導体装置の製造方法
JP2003347278A (ja) 2002-05-23 2003-12-05 Hitachi Kokusai Electric Inc 基板処理装置、及び半導体装置の製造方法
US6919689B2 (en) 2002-09-26 2005-07-19 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
US7029536B2 (en) 2003-03-17 2006-04-18 Tokyo Electron Limited Processing system and method for treating a substrate
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US20050230350A1 (en) 2004-02-26 2005-10-20 Applied Materials, Inc. In-situ dry clean chamber for front end of line fabrication
KR100710923B1 (ko) 2004-06-02 2007-04-23 동경 엘렉트론 주식회사 플라즈마 처리장치 및 임피던스 조정방법
US20060037704A1 (en) 2004-07-30 2006-02-23 Tokyo Electron Limited Plasma Processing apparatus and method
JP4699127B2 (ja) 2004-07-30 2011-06-08 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
CN1734712A (zh) 2004-07-30 2006-02-15 东京毅力科创株式会社 等离子体处理装置以及等离子体处理方法
US7625824B2 (en) 2005-06-16 2009-12-01 Oerlikon Usa, Inc. Process change detection through the use of evolutionary algorithms
JP4628900B2 (ja) 2005-08-24 2011-02-09 株式会社日立ハイテクノロジーズ プラズマ処理装置
JP5041713B2 (ja) 2006-03-13 2012-10-03 東京エレクトロン株式会社 エッチング方法およびエッチング装置、ならびにコンピュータ読取可能な記憶媒体
US7645357B2 (en) 2006-04-24 2010-01-12 Applied Materials, Inc. Plasma reactor apparatus with a VHF capacitively coupled plasma source of variable frequency
JP4914119B2 (ja) 2006-05-31 2012-04-11 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマ処理装置
JP5426811B2 (ja) 2006-11-22 2014-02-26 パール工業株式会社 高周波電源装置
US8129283B2 (en) 2007-02-13 2012-03-06 Hitachi High-Technologies Corporation Plasma processing method and plasma processing apparatus
JP4882824B2 (ja) 2007-03-27 2012-02-22 東京エレクトロン株式会社 プラズマ処理装置、プラズマ処理方法及び記憶媒体
US20100330805A1 (en) 2007-11-02 2010-12-30 Kenny Linh Doan Methods for forming high aspect ratio features on a substrate
JP2010016124A (ja) 2008-07-02 2010-01-21 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
US9548228B2 (en) 2009-08-04 2017-01-17 Lam Research Corporation Void free tungsten fill in different sized features
JP5199981B2 (ja) 2009-11-04 2013-05-15 東京エレクトロン株式会社 エッチング深さの検出方法並びにエッチングモニター装置及びエッチング装置
US20110136346A1 (en) 2009-12-04 2011-06-09 Axcelis Technologies, Inc. Substantially Non-Oxidizing Plasma Treatment Devices and Processes
JP2011228436A (ja) 2010-04-19 2011-11-10 Hitachi High-Technologies Corp プラズマ処理装置およびプラズマ処理方法
JP5933222B2 (ja) 2011-11-08 2016-06-08 東京エレクトロン株式会社 温度制御方法、制御装置及びプラズマ処理装置
JP5808697B2 (ja) 2012-03-01 2015-11-10 株式会社日立ハイテクノロジーズ ドライエッチング装置及びドライエッチング方法
JP2014007370A (ja) 2012-06-01 2014-01-16 Tokyo Electron Ltd プラズマエッチング方法
JP2014082354A (ja) 2012-10-17 2014-05-08 Hitachi High-Technologies Corp プラズマ処理装置
US8980763B2 (en) 2012-11-30 2015-03-17 Applied Materials, Inc. Dry-etch for selective tungsten removal
JP6078419B2 (ja) 2013-02-12 2017-02-08 株式会社日立ハイテクノロジーズ プラズマ処理装置の制御方法、プラズマ処理方法及びプラズマ処理装置
JP6186152B2 (ja) * 2013-03-29 2017-08-23 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9287124B2 (en) 2013-08-30 2016-03-15 Applied Materials, Inc. Method of etching a boron doped carbon hardmask
JP2015056519A (ja) 2013-09-12 2015-03-23 東京エレクトロン株式会社 エッチング方法、エッチング装置及び記憶媒体
JP6033496B2 (ja) 2013-11-06 2016-11-30 マットソン テクノロジー インコーポレイテッドMattson Technology, Inc. 垂直nand素子のための新規のマスク除去方法
JP6277004B2 (ja) 2014-01-31 2018-02-07 株式会社日立ハイテクノロジーズ ドライエッチング方法
US9299575B2 (en) 2014-03-17 2016-03-29 Applied Materials, Inc. Gas-phase tungsten etch
JP2015185594A (ja) 2014-03-20 2015-10-22 株式会社日立ハイテクノロジーズ エッチング装置
US9390923B2 (en) 2014-07-03 2016-07-12 Applied Materials, Inc. Methods of removing residual polymers formed during a boron-doped amorphous carbon layer etch process
US9418869B2 (en) 2014-07-29 2016-08-16 Lam Research Corporation Method to etch a tungsten containing layer
US9431268B2 (en) 2015-01-05 2016-08-30 Lam Research Corporation Isotropic atomic layer etch for silicon and germanium oxides
JP6316224B2 (ja) 2015-02-17 2018-04-25 東芝メモリ株式会社 半導体製造装置および半導体装置の製造方法
US9852923B2 (en) 2015-04-02 2017-12-26 Applied Materials, Inc. Mask etch for patterning
JP6504915B2 (ja) * 2015-05-25 2019-04-24 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US9978610B2 (en) 2015-08-21 2018-05-22 Lam Research Corporation Pulsing RF power in etch process to enhance tungsten gapfill performance
US10418243B2 (en) 2015-10-09 2019-09-17 Applied Materials, Inc. Ultra-high modulus and etch selectivity boron-carbon hardmask films
CN111627807B (zh) 2016-03-28 2023-08-29 株式会社日立高新技术 等离子处理方法以及等离子处理装置
JP6557642B2 (ja) * 2016-09-05 2019-08-07 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US10599039B2 (en) 2016-09-14 2020-03-24 Mattson Technology, Inc. Strip process for high aspect ratio structure
JP2018046185A (ja) 2016-09-15 2018-03-22 東京エレクトロン株式会社 酸化シリコン及び窒化シリコンを互いに選択的にエッチングする方法
JP6878853B2 (ja) 2016-11-28 2021-06-02 住友電気工業株式会社 半導体素子を作製する方法
JP6837886B2 (ja) 2017-03-21 2021-03-03 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法
JP6772117B2 (ja) 2017-08-23 2020-10-21 株式会社日立ハイテク エッチング方法およびエッチング装置
WO2020031224A1 (ja) 2018-08-06 2020-02-13 株式会社日立ハイテクノロジーズ プラズマ処理方法およびプラズマアッシング装置

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100263406B1 (ko) * 1993-08-23 2000-11-01 히가시 데쓰로 플라즈마처리의종점검지방법및장치
US20040045933A1 (en) * 2001-11-29 2004-03-11 Tetsunori Kaji Plasma processing method using spectroscopic processing unit
JP2010129884A (ja) * 2008-11-28 2010-06-10 Sharp Corp エッチング終点検出装置およびその方法
JP2016184638A (ja) 2015-03-26 2016-10-20 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法

Also Published As

Publication number Publication date
JPWO2021255812A1 (ko) 2021-12-23
CN114080662A (zh) 2022-02-22
TWI785649B (zh) 2022-12-01
KR102629845B1 (ko) 2024-01-29
JP7110492B2 (ja) 2022-08-01
US20230096723A1 (en) 2023-03-30
WO2021255812A1 (ja) 2021-12-23
US11875978B2 (en) 2024-01-16
TW202201533A (zh) 2022-01-01

Similar Documents

Publication Publication Date Title
KR102023444B1 (ko) 플라스마 처리 장치 및 플라스마 처리 방법
US10665516B2 (en) Etching method and plasma processing apparatus
JP5756974B2 (ja) 半導体装置の製造方法、半導体エッチングプロセスにおける計測方法
KR101217898B1 (ko) 플라즈마 처리 장치, 플라즈마 처리 방법, 종점 검출 방법 및 컴퓨터 판독가능한 기억 매체
KR20170107094A (ko) 광학적 메트롤로지 및 센서 디바이스를 이용한 에칭 프로세스 제어 방법 및 시스템
US20040060659A1 (en) Etching system and etching method
JP6072613B2 (ja) プラズマ処理方法
US10153217B2 (en) Plasma processing apparatus and plasma processing method
KR20210157394A (ko) 플라스마 처리 장치 및 플라스마 처리 방법
JP5458693B2 (ja) 終点検出装置
JP2007115765A (ja) プラズマ処理装置
TWI640031B (zh) Plasma processing device and method for monitoring plasma process
JP2001007084A (ja) エッチング終点判定方法
TWI431685B (zh) Plasma processing device and plasma processing method
CN107546141B (zh) 监测等离子体工艺制程的装置和方法
JP7201828B2 (ja) プラズマ処理装置およびプラズマ処理方法
JP2005123641A (ja) エッチング処理装置及び処理方法
JP3946467B2 (ja) ドライエッチング方法
JPH0766173A (ja) プラズマ処理方法
JP2000124198A (ja) プラズマエッチング装置及びプラズマエッチング方法
JP2017152445A (ja) プラズマ処理方法、およびプラズマ処理装置

Legal Events

Date Code Title Description
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant