TWI780413B - 矽介質材料蝕刻方法 - Google Patents

矽介質材料蝕刻方法 Download PDF

Info

Publication number
TWI780413B
TWI780413B TW109107865A TW109107865A TWI780413B TW I780413 B TWI780413 B TW I780413B TW 109107865 A TW109107865 A TW 109107865A TW 109107865 A TW109107865 A TW 109107865A TW I780413 B TWI780413 B TW I780413B
Authority
TW
Taiwan
Prior art keywords
etching
gas
dielectric material
silicon dielectric
silicon
Prior art date
Application number
TW109107865A
Other languages
English (en)
Other versions
TW202036718A (zh
Inventor
劉珂
蔣中偉
Original Assignee
大陸商北京北方華創微電子裝備有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 大陸商北京北方華創微電子裝備有限公司 filed Critical 大陸商北京北方華創微電子裝備有限公司
Publication of TW202036718A publication Critical patent/TW202036718A/zh
Application granted granted Critical
Publication of TWI780413B publication Critical patent/TWI780413B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Physics & Mathematics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Drying Of Semiconductors (AREA)
  • Silicon Compounds (AREA)

Abstract

一種矽介質材料蝕刻方法,包括:向一反應腔室內通入一混合氣體,並使該混合氣體電離形成等離子體以選擇性地對一基板上的一待蝕刻矽介質材料進行蝕刻;其中該混和氣體包括一蝕刻氣體和一調節氣體,該調節氣體可電離形成單原子正離子且不與蝕刻氣體反應,還可調節該待蝕刻矽介質材料與該基板上其他矽介質材料的蝕刻選擇比。本發明提供的矽介質材料蝕刻方法,不僅可以提高兩種不同矽介質材料的蝕刻選擇比,且對蝕刻後的形貌結果影響較小,從而可應用於高精度、原子層級的蝕刻製程中以及蝕刻真空度較高(小於0.1 Torr)的高密度等離子體蝕刻設備中。

Description

矽介質材料蝕刻方法
本發明涉及半導體技術領域,特別涉及一種矽介質材料蝕刻方法。
矽介質材料(例如氮化矽、氧化矽和氮氧化矽等)是半導體積體電路製程中間隔層和遮罩層的常用材料。矽介質材料的蝕刻製程可以使用等離子蝕刻設備來完成。在一些積體電路的蝕刻製程中,需要使用一種矽介質材料作為另一種矽介質材料的蝕刻停止層,這就需要通過調控製程來使得等離子體蝕刻這兩種不同材料的蝕刻速率呈現差異化,即較高的蝕刻選擇比,以完成圖形化蝕刻,從而實現積體電路圖形的轉移,獲得相應的器件結構。
例如,如圖1所示,為一種製備過程中的積體電路器件的膜層結構示意圖。該膜層結構包括由下而上依次設置的多晶矽層101、氧化矽層102、氮化矽層103和光刻膠104。在進行下一步的蝕刻製程時,需要將氮化矽層103中未被光刻膠104覆蓋的部分完全蝕刻,同時氮化矽層103下面的氧化矽層102作為蝕刻停止層,需要盡可能地減小對氧化矽層102的蝕刻量,蝕刻完成並去除光刻膠後的積體電路器件的膜層結構如圖2所示。在這種情況下,需要氮化矽對氧化矽的蝕刻選擇比足夠高才能實現這道製程。
針對上述製程中的矽介質材料的等離子體蝕刻,現有的一種蝕刻方法是使用碳氫氟類(CxHyFz)或者碳氟類(CxFy)氣體作為主蝕刻氣體,再增加一種或者多種輔助氣體(例如NF3 、SF6 、O2 、N2 和H2 等在蝕刻過程中參與反應的氣體)來實現蝕刻選擇比的調控。通過選擇上述主蝕刻氣體的摩爾體積比來實現氮化矽相對氧化矽的高蝕刻選擇比等離子體蝕刻,有些現有技術方案中,在通入上述蝕刻氣體和輔助氣體的同時,也會向製程腔室內通入惰性氣體(例如氬氣或者氦氣),在該技術方案中,通入的惰性氣體的作用是為了改善製程腔室內氣體的流場和等離子分佈,從而提高等離子體蝕刻的均勻性。因此,惰性氣體的流量相對於主蝕刻氣體的流量越大則起到的改善蝕刻均勻性的效果越好,因此通常通入的惰性氣體的流量大於200sccm。但是,該技術方案應用的蝕刻腔室壓力強度通常比較大例如480mTorr,其通常應用於電容耦合等離子體 (capacitive coupled plasma, CCP)裝置,CCP裝置電極基板間距離較小,在深孔蝕刻時可以縮短蝕刻時間,提高每小時產量,但是應用於蝕刻目標深度小的蝕刻製程時(例如SAB蝕刻製程,蝕刻深度大約僅有100A),難於控制其蝕刻深度和精度。因此,該現有技術的製程無法應用於真空度較高(<0.1 Torr)的高密度等離子體蝕刻設備中,例如反應耦合等離子體(inductively coupled plasma, ICP)蝕刻設備。
本發明旨在至少解決現有技術中存在的技術問題之一,提出了一種矽介質材料蝕刻方法,其不僅可以提高兩種不同的矽介質材料的蝕刻選擇比,且對蝕刻後的形貌結果影響較小,從而可以應用於高精度、原子層級的蝕刻製程中以及要求真空度較高(小於0.1 Torr)的高密度等離子體蝕刻設備中。
為實現上述目的,本發明提供了一種矽介質材料蝕刻方法,包括:向反應腔室內通入氣體,並使該氣體電離形成等離子體,以選擇性地對基板(substrate)上的待蝕刻矽介質材料進行蝕刻;其中,該氣體包括蝕刻氣體和調節氣體,該調節氣體能夠電離形成單原子正離子,且該單原子正離子在等離子體環境下不與該蝕刻氣體發生化學反應,該調節氣體用於調節該待蝕刻矽介質材料與該基板上的其他矽介質材料的蝕刻選擇比。
在某些實施例中,通過調節該調節氣體的流量和/或電離形成的離子能量,來調節該待蝕刻矽介質材料與該基板上的其他矽介質材料的蝕刻選擇比。
在某些實施例中,該調節氣體包括氦氣、氬氣以及三氯化硼中的至少一種;形成的該單原子正離子包括He+、Ar+以及B3+ 中的至少一種。
在某些實施例中,通過調節下電極電源輸出的下電極功率,來調節該調節氣體電離形成的離子能量。
在某些實施例中,該下電極功率的取值範圍在50 W-4000 W。
在某些實施例中,該下電極功率為200 W。
在某些實施例中,根據不同的製程參數調節該調節氣體的流量,使該蝕刻選擇比達到56.3。
在某些實施例中,該調節氣體的流量不大於100 sccm。
在某些實施例中,該調節氣體的流量為50sccm。
在某些實施例中,該蝕刻氣體包括用於蝕刻該待蝕刻矽介質材料的主蝕刻氣體和用於調節蝕刻形貌的輔助氣體。
在某些實施例中,該主蝕刻氣體包括至少一種碳氫氟類氣體和/或至少一種碳氟類氣體。
在某些實施例中,該主蝕刻氣體包括CH3 F;該輔助氣體包括O2 ;該調節氣體包括He。
在某些實施例中,該氣體的總流量的取值範圍在20 sccm-2000sccm。
在某些實施例中,該混合氣體的總流量的取值範圍在100 sccm-1000 sccm。
在某些實施例中,該反應腔室的壓力的取值範圍小於100mTorr。
在某些實施例中,該待蝕刻矽介質材料包括氮化矽;該其他矽介質材料包括氧化矽。
本發明所提供的矽介質材料蝕刻方法,其利用調節氣體單獨對待蝕刻矽介質材料與基板上的其他矽介質材料的蝕刻選擇比進行調控,由於該調節氣體電離形成的單原子正離子不與蝕刻氣體反應,這使得單原子正離子在等離子體環境下是相對穩定的,可以起到物理轟擊的作用,從而可以通過調節單原子正離子物理轟擊的強度來提高不同的兩種矽介質材料的蝕刻選擇比。同時,由於可以將單原子正離子的物理轟擊作用調節到較小程度,蝕刻速率較低,對蝕刻後的形貌結果影響較小,從而可以應用於高精度、原子層級的蝕刻製程中以及要求真空度較高(小於0.1Torr)的高密度等離子體蝕刻設備中。
以下揭露提供用於實施本揭露之不同構件之許多不同實施例或實例。下文描述組件及配置之特定實例以簡化本揭露。當然,此等僅為實例且非意欲限制。舉例而言,在以下描述中之一第一構件形成於一第二構件上方或上可包含其中該第一構件及該第二構件經形成為直接接觸之實施例,且亦可包含其中額外構件可形成在該第一構件與該第二構件之間,使得該第一構件及該第二構件可不直接接觸之實施例。另外,本揭露可在各個實例中重複參考數字及/或字母。此重複出於簡化及清楚之目的且本身不指示所論述之各個實施例及/或組態之間的關係。
此外,為便於描述,諸如「下面」、「下方」、「下」、「上方」、「上」及類似者之空間相對術語可在本文中用於描述一個元件或構件與另一(些)元件或構件之關係,如圖中圖解說明。空間相對術語意欲涵蓋除在圖中描繪之定向以外之使用或操作中之裝置之不同定向。設備可以其他方式定向(旋轉90度或按其他定向)且因此可同樣解釋本文中使用之空間相對描述詞。
儘管陳述本揭露之寬泛範疇之數值範圍及參數係近似值,然儘可能精確地報告特定實例中陳述之數值。然而,任何數值固有地含有必然由於見於各自測試量測中之標準偏差所致之某些誤差。再者,如本文中使用,術語「大約」通常意謂在一給定值或範圍之10%、5%、1%或0.5%內。替代地,術語「大約」意謂在由此項技術之一般技術者考量時處於平均值之一可接受標準誤差內。除在操作/工作實例中以外,或除非以其他方式明確指定,否則諸如針對本文中揭露之材料之數量、時間之持續時間、溫度、操作條件、數量之比率及其類似者之全部數值範圍、數量、值及百分比應被理解為在全部例項中由術語「大約」修飾。相應地,除非相反地指示,否則本揭露及隨附發明申請專利範圍中陳述之數值參數係可根據需要變化之近似值。至少,應至少鑑於所報告有效數位之數目且藉由應用普通捨入技術解釋各數值參數。範圍可在本文中表達為從一個端點至另一端點或在兩個端點之間。本文中揭露之全部範圍包含端點,除非另有指定。
請參閱圖3,本發明提供的矽介質材料蝕刻方法,其包括:步驟S1,向反應腔室內通入蝕刻氣體和調節氣體,並開啟上電極電源和下電極電源,以選擇性地對基板(substrate)上的待蝕刻矽介質材料進行蝕刻。
在上述步驟S1中,蝕刻氣體主要起到蝕刻作用,以去除待蝕刻矽介質材料。可選的,蝕刻氣體包括用於蝕刻待蝕刻矽介質材料的主蝕刻氣體和用於調節蝕刻形貌的輔助氣體;其中,主蝕刻氣體包括至少一種碳氫氟類氣體(CxHyFz)和/或至少一種碳氟類氣體(CxFy)。其中,碳氫氟類氣體例如為CH3 F或者CH2 F2 等等。碳氟類氣體例如為CF4 等。輔助氣體包括NF3 、SF6 、O2 、N2 、H2 、Ar和NO中的至少一種。
調節氣體能夠電離形成單原子正離子,且單原子正離子在等離子體環境下處於相對穩定狀態,不參與蝕刻過程的化學反應。所謂不與蝕刻氣體反應的單原子正離子,需要同時滿足以下三個條件,即:條件1、單原子;條件2、正離子;條件3、不參與蝕刻過程的化學反應。諸如He+, Ar+,B3+ 等的離子可以同時滿足上述三個條件。CH3 F氣體電離後可能產生CH3+基團,其不滿足條件1;H+雖然是單原子正離子,但是其易與氧負離子形成OH,不滿足條件3。
本發明提供的矽介質材料蝕刻方法,其利用上述調節氣體單獨用於調節待蝕刻矽介質材料與基板上的其他矽介質材料的蝕刻選擇比。由於該調節氣體電離形成的單原子正離子不與蝕刻氣體反應,這使得單原子正離子在等離子體環境下是相對穩定的,可以起到增強物理轟擊的作用,從而可以提高不同的兩種矽介質材料的蝕刻選擇比。通過實驗發現,利用上述調節氣體,可以使氮化矽對氧化矽的蝕刻選擇比高達56.3,可以滿足製程需求。
同時,由於單原子正離子增強物理轟擊作用的程度較小(相對於非單質離子,例如CH3 +基團),蝕刻速率較低,因此,利用單原子正離子單獨調節蝕刻選擇比對蝕刻後的形貌結果影響較小,從而可以應用于高精度、原子層級的蝕刻製程中以及要求真空度較高(小於0.1 Torr)的高密度等離子體蝕刻設備中。
可選的,在上述蝕刻方法中,待蝕刻矽介質材料包括氮化矽;其他矽介質材料包括氧化矽。當然,在實際應用中,本發明提供的矽介質材料蝕刻方法還可以應用於其他不同的矽介質材料的蝕刻。
可選的,調節氣體可以選擇氦氣、氬氣以及三氯化硼中的至少一種;相應的,形成的單原子正離子包括He+、Ar+以及B3+ 中的至少一種。優選的,調節氣體為氦氣,其電離形成的He+相對於Ar+或其他離子,物理轟擊作用更小,從而可以保證蝕刻形貌不受影響。
優選的,通過調節上述調節氣體的流量和/或電離形成的離子能量,來調節待蝕刻矽介質材料與基板上的其他矽介質材料的蝕刻選擇比。所謂離子能量,是指單質正離子到達矽介質材料表面時進行物理轟擊時所具有的能量。這樣,可以根據具體情況實現對蝕刻選擇比的調控,從而可以擴大選擇比的調控範圍,提高製程靈活性。同時,在不同的製程參數條件下,還可以通過調節上述調節氣體的流量和/或電離形成的離子能量,來使蝕刻選擇比達到最大值,以滿足製程需求。
進一步優選的,通過調節下電極電源輸出的下電極功率,來調節調節氣體電離形成的離子能量。通過實驗發現,在其他製程參數保持不變的前提下,僅改變下電極功率,可以獲得不同的離子能量,從而實現對蝕刻選擇比的調控。下面以主蝕刻氣體為CH3 F,輔助氣體為O2 ,調節氣體為He,對氮化矽進行蝕刻的製程為例,採集不同的下電極功率對應的氮化矽和氧化矽的蝕刻速率數據。
表1,為下電極功率、蝕刻速率和蝕刻選擇比的對照表。
下電極功率(W) 蝕刻速率
SiN (Å/min) SiO2 (Å/min) SiN/SiO2 蝕刻選擇比
200 319 41 7.8
300 310 51 6.1
由表1可知,下電極功率的大小決定了He離子到達矽介質材料表面時進行物理轟擊時所具有的能量,因此,通過調節下電極功率的大小,可以實現對蝕刻選擇比的調控。此外,當下電極功率為200 W時,SiN/SiO2 的蝕刻選擇比為7.8;當下電極功率為300 W時,SiN/SiO2 的蝕刻選擇比為6.1。由此可知,可以將下電極功率設定在200 W及以下,以將SiN/SiO2 的蝕刻選擇比保持在較大的範圍內。
可選的,下電極功率的取值範圍在50 W-4000 W。優選的,下電極功率為200 W,以使SiN/SiO2 的蝕刻選擇比達到最大值。
另外,還可以通過單獨調節上述調節氣體的流量,或者分別調節上述調節氣體的流量和電離形成的離子能量,來調節待蝕刻矽介質材料與基板上的其他矽介質材料的蝕刻選擇比。同樣以主蝕刻氣體為CH3 F,輔助氣體為O2 ,調節氣體為He,對氮化矽進行蝕刻的製程為例,採集不同的調節氣體的流量對應的氮化矽和氧化矽的蝕刻速率數據。
表2,為調節氣體的流量、蝕刻速率和蝕刻選擇比的對照表。
氦氣的流量(sccm) 蝕刻速率
SiN (Å/min) SiO2 (Å/min) SiN/SiO2 蝕刻選擇比
0 844 19 44.4
50 899 16 56.3
100 862 24 35.9
275 732 37 19.8
由上述表2可知,在其他製程參數保持不變的前提下,僅改變調節氣體的流量,可以實現對蝕刻選擇比的調控。具體地,當氦氣的流量為0時,即,未向反應腔室內通入調節氣體,此時蝕刻選擇比為44.4。當氦氣的流量為50 sccm時,蝕刻選擇比增大至56.3。但是,當氦氣的流量為100 sccm時,蝕刻選擇比減小至35.9。
圖4為氦氣流量與蝕刻選擇比的曲線圖。如圖4所示,X軸表示氦氣的流量;Y軸表示蝕刻速率;Z軸表示蝕刻選擇比。曲線A為氦氣的流量與氮化矽的蝕刻速率的曲線;曲線B為氦氣的流量與氧化矽的蝕刻速率的曲線;曲線C為氦氣的流量與蝕刻選擇比的曲線。
由上述三條曲線可知,引入氦氣的流量在不大於50 sccm的範圍內可以起到提高蝕刻選擇比的作用,同時,通過適當調節氦氣的流量大小,可以增大蝕刻選擇比。由此,調節氣體的流量的取值範圍可以是大於0 sccm且小於等於100 sccm。
可選的,根據不同的製程參數來調節調節氣體(例如氦氣)的流量,以使蝕刻選擇比達到最大值,例如達到56.3。上述製程參數包括諸如設備參數、主蝕刻氣體的種類和輔助氣體等的種類中的至少一者。其中,設備參數包括腔室容積、腔室真空泵抽速、腔室機械形狀等等。在實際應用中,不同的製程條件下,使蝕刻選擇比達到最大值所對應的調節氣體的流量也不同,因此,需要根據具體的製程參數選擇適當的流量大小,使得蝕刻選擇比達到最優範圍。
針對主蝕刻氣體為CH3 F,輔助氣體為O2 ,調節氣體為He的情況下,調節氣體的流量的取值範圍在1 sccm-100 sccm。優選的,調節氣體的流量為50 sccm。由上述圖4可知,當氦氣的流量為50 sccm時,蝕刻選擇比可以達到最大值。
可選的,反應腔室的壓力的取值範圍在1 mTorr-100 Torr。優選的,反應腔室的壓力的取值範圍在5 mTorr-200 mTorr。由於蝕刻製程所採用的反應腔室的壓力較低,這可以避免蝕刻速率過快,從而可以提高蝕刻精度,進而矽介質材料蝕刻方法可以應用于高精度、原子層級的蝕刻製程中以及蝕刻真空度較高(小於0.1 Torr)的高密度等離子體蝕刻設備中。
可選的,混合氣體的總流量的取值範圍在20 sccm-2000 sccm。優選的,混合氣體的總流量的取值範圍在100 sccm-1000 sccm。
可選的,將基板的溫度控制在-30-500℃的範圍內。優選的,將基板的溫度控制在20-100℃的範圍內。
可選的,上電極電源輸出的上電極功率的取值範圍在50 W-8000 W。優選的,上電極電源輸出的上電極功率的取值範圍在200 W-2000 W。
綜上所述,本發明所提供的矽介質材料蝕刻方法,其利用調節氣體單獨對待蝕刻矽介質材料與基板上的其他矽介質材料的蝕刻選擇比進行調控,由於該調節氣體電離形成的單原子正離子不與蝕刻氣體反應,這使得單原子正離子在等離子體環境下是相對穩定的,可以起到增強物理轟擊的作用,從而可以提高不同的兩種矽介質材料的蝕刻選擇比。同時,由於單原子正離子增強物理轟擊作用的程度較小,蝕刻速率較低,對蝕刻後的形貌結果影響較小,從而可以應用于高精度、原子層級的蝕刻製程中以及蝕刻真空度較高(小於0.1Torr)的高密度等離子體蝕刻設備中。
前述內容概括數項實施例之特徵,使得熟習此項技術者可更佳地理解本揭露之態樣。熟習此項技術者應瞭解,其等可容易地使用本揭露作為用於設計或修改用於實行本文中介紹之實施例之相同目的及/或達成相同優點之其他製程及結構之一基礎。熟習此項技術者亦應瞭解,此等等效構造不背離本揭露之精神及範疇,且其等可在不背離本揭露之精神及範疇之情況下在本文中作出各種改變、置換及更改。
101:多晶矽層 102:氧化矽層 103:氮化矽層 104:光刻膠 S1:步驟
當結合附圖閱讀時,從以下詳細描述最佳理解本揭露之態樣。應注意,根據產業中之標準實踐,各種構件未按比例繪製。事實上,為了論述的清楚起見可任意增大或減小各種構件之尺寸。 圖1為一種製備過程中的積體電路器件的膜層結構示意圖; 圖2為蝕刻完成並去除光刻膠後的積體電路器件的膜層結構示意圖; 圖3為本發明提供的矽介質材料蝕刻方法的流程框圖; 圖4為氦氣流量與蝕刻選擇比的曲線圖。
S1:步驟

Claims (15)

  1. 一種矽介質材料蝕刻方法,包括:向一反應腔室內通入一混和氣體,並使該混和氣體電離形成一等離子體,以選擇性地對一基板(substrate)上的一待蝕刻矽介質材料進行蝕刻;其中,該混和氣體包括一蝕刻氣體和一調節氣體,該調節氣體能夠電離形成一單原子正離子,且該單原子正離子在一等離子體環境下不與該蝕刻氣體發生化學反應,該調節氣體用於調節該待蝕刻矽介質材料與該基板上的其他矽介質材料的一蝕刻選擇比;其中,通過調節一下電極電源輸出的一下電極功率來調節該調節氣體電離形成的離子能量藉此調節該待蝕刻矽介質材料與該基板上的其他矽介質材料的該蝕刻選擇比;其中,該下電極功率與該蝕刻選擇比的關係為負相關。
  2. 如請求項1所述的矽介質材料蝕刻方法,其中還可以通過調節該調節氣體的流量來調節該待蝕刻矽介質材料與該基板上的其他矽介質材料的該蝕刻選擇比。
  3. 如請求項1或2所述的矽介質材料蝕刻方法,其中該調節氣體包括氦氣、氬氣以及三氯化硼中的至少一種;形成的所述單原子正離子包括He+、Ar+以及B3+中的至少一種。
  4. 如請求項1所述的矽介質材料蝕刻方法,其中該下電極功率的取值範圍在50W-4000W。
  5. 如請求項4所述的矽介質材料蝕刻方法,其中該下電極功率為200W。
  6. 如請求項2所述的矽介質材料蝕刻方法,其中根據不同的製程參數調節該調節氣體的流量,使該蝕刻選擇比達到56.3。
  7. 如請求項1或2所述的矽介質材料蝕刻方法,其中該調節氣體的流量不大於100sccm。
  8. 如請求項7所述的矽介質材料蝕刻方法,其中該調節氣體的流量為50sccm。
  9. 如請求項1所述的矽介質材料蝕刻方法,其中該蝕刻氣體包括用於蝕刻該待蝕刻矽介質材料的主蝕刻氣體和用於調節蝕刻形貌的輔助氣體。
  10. 如請求項9所述的矽介質材料蝕刻方法,其中該主蝕刻氣體包括至少一種碳氫氟類氣體和/或至少一種碳氟類氣體。
  11. 如請求項10所述的矽介質材料蝕刻方法,其中該主蝕刻氣體包括CH3F;該輔助氣體包括O2;該調節氣體包括He。
  12. 如請求項1所述的矽介質材料蝕刻方法,其中該混和氣體的總流量的取值範圍在20sccm-2000sccm。
  13. 如請求項12所述的矽介質材料蝕刻方法,其中該混合氣體的總流量的取值範圍在100sccm-1000sccm。
  14. 如請求項1所述的矽介質材料蝕刻方法,其中該反應腔室的壓力的取值範圍小於100mTorr。
  15. 如請求項1所述的矽介質材料蝕刻方法,其中該待蝕刻矽介質材料包括氮化矽;其他矽介質材料包括氧化矽。
TW109107865A 2019-03-15 2020-03-10 矽介質材料蝕刻方法 TWI780413B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
CN201910199916.7 2019-03-15
CN201910199916.7A CN111696863B (zh) 2019-03-15 2019-03-15 硅介质材料刻蚀方法

Publications (2)

Publication Number Publication Date
TW202036718A TW202036718A (zh) 2020-10-01
TWI780413B true TWI780413B (zh) 2022-10-11

Family

ID=72475553

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109107865A TWI780413B (zh) 2019-03-15 2020-03-10 矽介質材料蝕刻方法

Country Status (2)

Country Link
CN (1) CN111696863B (zh)
TW (1) TWI780413B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112921403A (zh) * 2021-02-09 2021-06-08 北京北方华创微电子装备有限公司 碳化硅晶片的刻蚀方法
CN115185129B (zh) * 2022-06-07 2024-02-09 深圳技术大学 介质膜过孔的刻蚀方法、液晶显示面板及液晶显示器

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20130196509A1 (en) * 2011-09-01 2013-08-01 Tel Epion Inc. Gas Cluster Ion Beam Etching Process for Etching Si-Containing, Ge-Containing, and Metal-Containing Materials
TW201626451A (zh) * 2014-08-29 2016-07-16 蘭姆研究公司 高深寬比結構中的接觸窗清洗
US20160247688A1 (en) * 2015-02-23 2016-08-25 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US20170178894A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Cleaning method
TW201816939A (zh) * 2016-10-27 2018-05-01 國家中山科學研究院 一種在多晶氮化鋁基板作高深寬比圖案的方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH05234959A (ja) * 1991-08-16 1993-09-10 Hitachi Ltd ドライエッチング方法及びドライエッチング装置
CN102034704B (zh) * 2009-09-25 2012-07-25 中芯国际集成电路制造(上海)有限公司 提高刻蚀硬掩膜氧化层和氮化硅层刻蚀选择比的方法
KR101276262B1 (ko) * 2011-11-21 2013-06-20 피에스케이 주식회사 반도체 제조 장치 및 반도체 제조 방법
CN103094476B (zh) * 2013-01-18 2016-01-20 中国科学院上海微系统与信息技术研究所 相变合金材料的无损刻蚀方法
CN103489757A (zh) * 2013-10-16 2014-01-01 信利半导体有限公司 一种用于叠层绝缘薄膜的刻蚀方法
CN104409333A (zh) * 2014-12-12 2015-03-11 中国科学院上海微系统与信息技术研究所 相变材料GeTe的耦合等离子体刻蚀方法
CN106504982B (zh) * 2015-09-07 2020-07-17 北京北方华创微电子装备有限公司 一种基片的刻蚀方法

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20110065276A1 (en) * 2009-09-11 2011-03-17 Applied Materials, Inc. Apparatus and Methods for Cyclical Oxidation and Etching
US20130196509A1 (en) * 2011-09-01 2013-08-01 Tel Epion Inc. Gas Cluster Ion Beam Etching Process for Etching Si-Containing, Ge-Containing, and Metal-Containing Materials
TW201626451A (zh) * 2014-08-29 2016-07-16 蘭姆研究公司 高深寬比結構中的接觸窗清洗
US20160247688A1 (en) * 2015-02-23 2016-08-25 Lam Research Corporation Method for achieving ultra-high selectivity while etching silicon nitride
US20170178894A1 (en) * 2015-12-18 2017-06-22 Applied Materials, Inc. Cleaning method
TW201816939A (zh) * 2016-10-27 2018-05-01 國家中山科學研究院 一種在多晶氮化鋁基板作高深寬比圖案的方法

Also Published As

Publication number Publication date
CN111696863A (zh) 2020-09-22
CN111696863B (zh) 2024-04-12
TW202036718A (zh) 2020-10-01

Similar Documents

Publication Publication Date Title
JP6734973B2 (ja) プラズマ処理方法
KR101223819B1 (ko) 플라즈마 에칭 방법 및 플라즈마 에칭 장치
US10483127B2 (en) Methods for high precision plasma etching of substrates
KR101427505B1 (ko) 마스크 패턴의 형성 방법 및 반도체 장치의 제조 방법
TWI699831B (zh) 非等向性鎢蝕刻用方法及設備
TWI508164B (zh) Manufacturing method of semiconductor device
CN100514570C (zh) 等离子体蚀刻方法
US11450537B2 (en) Substrate processing method and substrate processing apparatus
KR20150048646A (ko) 감소된 트리밍 레이트에서 탄소 함유 막을 트리밍하는 방법
JP2013080909A (ja) 3dフラッシュ構造用のエッチングプロセス
KR102513051B1 (ko) 에칭 방법
US20220051904A1 (en) Etching method
JP6017928B2 (ja) プラズマエッチング方法及びプラズマエッチング装置
TWI780413B (zh) 矽介質材料蝕刻方法
CN109196624B (zh) 蚀刻方法
JPH05102107A (ja) 半導体装置の製造方法
KR20200018897A (ko) 플라즈마 식각 방법
TWI713486B (zh) 蝕刻方法(二)
CN110246737B (zh) 一种半导体晶圆结构的刻蚀方法
CN107579001A (zh) 半导体器件的形成方法
CN111243950B (zh) 一种刻蚀方法
JP5154013B2 (ja) ドライエッチング方法
JPH04170027A (ja) ドライエッチング方法
TWI836553B (zh) 對包括氮化矽層的板進行處理的方法
JP2018074006A (ja) プラズマエッチング方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent