TWI770596B - 電漿處理方法及使用在電漿處理的波長選擇方法 - Google Patents

電漿處理方法及使用在電漿處理的波長選擇方法 Download PDF

Info

Publication number
TWI770596B
TWI770596B TW109129496A TW109129496A TWI770596B TW I770596 B TWI770596 B TW I770596B TW 109129496 A TW109129496 A TW 109129496A TW 109129496 A TW109129496 A TW 109129496A TW I770596 B TWI770596 B TW I770596B
Authority
TW
Taiwan
Prior art keywords
film layer
wavelength
light emission
wavelengths
processing
Prior art date
Application number
TW109129496A
Other languages
English (en)
Other versions
TW202125623A (zh
Inventor
川口洋平
臼井建人
中元茂
Original Assignee
日商日立全球先端科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商日立全球先端科技股份有限公司 filed Critical 日商日立全球先端科技股份有限公司
Publication of TW202125623A publication Critical patent/TW202125623A/zh
Application granted granted Critical
Publication of TWI770596B publication Critical patent/TWI770596B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32963End-point detection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • H01J37/32972Spectral analysis
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • HELECTRICITY
    • H05ELECTRIC TECHNIQUES NOT OTHERWISE PROVIDED FOR
    • H05HPLASMA TECHNIQUE; PRODUCTION OF ACCELERATED ELECTRICALLY-CHARGED PARTICLES OR OF NEUTRONS; PRODUCTION OR ACCELERATION OF NEUTRAL MOLECULAR OR ATOMIC BEAMS
    • H05H1/00Generating plasma; Handling plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching
    • H01J2237/3341Reactive etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • H01L22/20Sequence of activities consisting of a plurality of measurements, corrections, marking or sorting steps
    • H01L22/26Acting in response to an ongoing measurement without interruption of processing, e.g. endpoint detection, in-situ thickness measurement

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Analytical Chemistry (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Crystals, And After-Treatments Of Crystals (AREA)

Abstract

為了提供一種可以高的精度來檢測出剩餘膜厚或蝕刻量的波長選擇方法或電漿處理方法,將處理對象的晶圓配置於真空容器內部的處理室內,使用在前述處理室內供給處理用的氣體而產生的電漿來處理預先被形成於前述晶圓的表面的處理對象的膜層之電漿處理方法, 根據檢測出從在前述處理對象的膜層的處理中產生的電漿的複數的波長的發光之中相互資訊量大的複數的波長者選擇的至少2個的波長的前述發光的時間變化的結果來判定前述膜層的處理的終點。

Description

電漿處理方法及使用在電漿處理的波長選擇方法
本發明是有關使用製造半導體積體電路的工程,利用在該處理室內形成的電漿來處理被配置於真空容器內部的處理室內的半導體晶圓等的基板狀的試料之電漿處理裝置中,用以利用在處理中被檢測出之來自處理室內的發光來檢測出試料表面的膜厚度或處理的量之波長的選擇方法或電漿處理方法。
在製造半導體裝置的工程中,將半導體晶圓等的基板狀的試料配置於真空容器內部的處理室內,利用在該處理室內形成的電漿,蝕刻具有包含被形成於試料表面上的介電材料或遮罩層的複數的膜層之膜構造,實施形成半導體裝置的電路的圖案的處理,所謂乾式蝕刻處理。在如此的蝕刻處理中,為了實現近年來增大的半導體裝置的集成度,為了以高的精度來實現該處理,使上述的膜層停止於所望的膜厚度或蝕刻深度,被要求更正確地決定蝕刻的終點,適當地調節處理的條件。
一般,如此的蝕刻處理是在真空容器內部的處理室內配置半導體晶圓的狀態下,在該處理室內供給的處理用的氣體供給電場或磁場,其原子或分子會被激發而形成電漿,利用此來進行試料上的膜構造之中的處理對象的膜的處理。在處理中,在處理室內的電漿的發光中所含的特定的波長的光的強度是隨著處理對象的特定的膜或處理進展的任意的膜的蝕刻的進展而變化。
於是,以往作為精度佳檢測出處理的終點的技術,有檢測出在蝕刻處理中來自處理室的發光中所含的該特定的波長的強度的變化,根據此結果來檢測出處理的終點者為人所知。但,上述的發光,通常是依據在處理中的電漿的特性或在膜的狀態具有大的相關的反應而產生的特定的波長的發光以外,亦包含隨著時間經過而強度變化的發光,在終點的判定時需要減低或抑制起因於依照如此的所謂基線(baseline)而發生的檢測對象的波長的波形的變動的誤檢測。
作為用以對應於如此的雜訊來精度佳檢測出發光的強度的變化的技術,有日本特開2015-23104號公報(專利文獻1)。此以往技術是藉由IIR(無限脈衝響應)濾波器,從發光的強度的訊號,一邊追隨其傾斜度的時間變化,一邊檢測出時間變化的基線成分,藉由從發光的強度的訊號去除檢測出的基線的成分,抽出變化的成分。 先前技術文獻 專利文獻
專利文獻1:日本特開2015-23104號公報
就上述以往技術而言,由於其次的點的考慮不夠充分,因此發生問題。
亦即,專利文獻1的技術是有效地從發光的強度的訊號除去基線的成分者,但作為適於終點檢測預先選擇的波長(基本波長)的光,因附著於處理室的使光透過檢測的窗構件或內壁面而堆積的物質,強度被減弱或引起飽和(saturation),或基線變大。因此,若所欲只使用基本波長來檢測出終點,則恐有檢測的精度受損之虞。在解決如此的問題來提高終點的檢測的精度方面,需要將使用基本波長以外的波長等複數的波長的光用在處理的終點或蝕刻量、剩餘膜厚度的檢測。然而,就上述以往的技術而言,有關用以適當地選擇用在如此的終點的判定的複數的波長的技術是未被考慮。
而且,為了選擇用在終點的判定的光的波長,以往使用的根據決定係數的選擇或利用主成分分析或非負值行列因子分解,因為基線的影響等,只不過變化大的波長會被抽出而已,被抽出的波長不是適於終點或剩餘膜厚度的檢測的波長,同樣地恐有檢測的精度受損之虞。
本發明的目的是在於提供一種可適當地選擇所使用的複數的波長來高精度檢測出剩餘膜厚或蝕刻量的電漿處理方法及使用在電漿處理的波長選擇方法。
上述目的是藉由下述的電漿處理方法來達成,亦即,在真空容器內部的處理室內配置處理對象的晶圓,利用在前述處理室內供給處理用的氣體而產生的電漿來蝕刻預先被形成於前述晶圓的表面的處理對象的膜層之處理的該處理中的預定的時刻,利用在前述處理室內產生的發光來判定前述膜層的處理的終點的到達,根據檢測出從前述處理室內的發光的複數的波長之中選擇的波長,即表示前述預定的時刻的該波長的發光與其他的波長的發光之間的相互的依存性的相互資訊量大的至少2個的波長的前述發光的強度的時間變化的結果來判定前述膜層的處理的終點。
若根據本發明,則在電漿處理裝置,特別是在蝕刻處理裝置中,即使是基本波長為飽和或隱藏於基線的情況,不問線形.非線形,可只選擇蝕刻量或終點與發光強度變化的從屬性高的波長,作為代替基本波長的波長。可根據如此被選擇的波長來更高精度檢測出被處理層的實際的蝕刻量或終點。
以下,利用圖面來說明本發明的實施形態。
本實施形態是說明利用在處理室內形成的電漿來蝕刻在被配置於真空容器內部的處理室內的半導體晶圓等基板狀的試料上面具有複數層包含預先被配置的遮罩層及處理對象的膜層的膜構造時,利用包含在試料的表面的膜構造被反射的光之來自處理室內的發光,來檢測蝕刻的量或判定蝕刻的終點之電漿處理裝及其運轉方法。尤其本例是揭示一種不問線形・非線形,只選擇蝕刻量或終點與發光強度變化的從屬性高的複數的波長作為代替基本波長的波長,以高的精度來檢測出膜構造的處理對象的膜層的蝕刻的量或其終點,可使膜構造被蝕刻而形成的構成半導體裝置的電路的圖案的形狀接近所期者之電漿處理方法。 實施例1
利用圖1~4來說明本發明的實施例。
利用圖1來說明本實施例的電漿處理裝置的構成。圖1是模式性地表示本發明的實施例的電漿處理裝置的構成的概略的圖。尤其本實施例的電漿處理裝置100是被用在蝕刻處理被搬送至被配置於內部的處理室內的半導體晶圓等的基板狀的試料而製造半導體裝置的工程之裝置,具備檢測出處理試料後的蝕刻量、例如遮罩或處理對象的膜層的剩餘膜厚或蝕刻形成的溝或孔的深度之檢測器,被構成為根據來自檢測器的輸出而調節半導體晶圓等試料的處理之電漿蝕刻裝置。
本例的電漿處理裝置100的構成具備: 真空容器101,其係配置有在內部具備圓筒形的處理室102,具有圓筒形的外形; 電漿形成裝置,其係被配置於真空容器的101外部,形成為了在處理室102內部的空間形成電漿103而被供給的電場或磁場之手段;及 排氣裝置,其係包含:在真空容器101的下方與彼連結,從處理室102內的空間排除氣體的原子、分子或構成電漿103的離子或活性高的粒子等之渦輪分子泵等的真空泵,及調節該排氣的流量或速度之調節器。
而且,在處理室102內的形成電漿103的空間的下方是配置有:處理對象的半導體晶圓等的基板狀的試料104會被載置於具有圓形的其上面的試料台105。又,雖未圖示,但本實施例是在處理室102的內側配置有用以導入為了形成電漿103來處理試料104的導入處理用氣體的氣體導入孔,且真空容器101是連接構成氣體供給路徑的氣體用的管路,該氣體用的管路是連結氣體導入孔與處理用氣體的氣體源之間,在其途中配置有將氣體的流量或速度調節成適於處理者的流量調節器。
而且,在本實施例的電漿處理裝置100是配置有蝕刻量檢測器110,該蝕刻量檢測器110是被配置於真空容器101外部,接受在處理室102內被實行的利用電漿103的試料104的處理中產生的電漿103的發光或來自試料104表面的干渉光等的來自處理室102內側的光,而檢測出其強度或變化來檢測出被配置於試料104的表面的蝕刻處理對象的膜層的剩餘膜厚度或蝕刻量(例如溝或孔的深度)。並且,為了將來自處理中的處理室102的光傳達至蝕刻量檢測器110,在包圍處理室102的真空容器101的側壁或構成處理室102上方的真空容器101的蓋部分的構件所配置的貫通孔內,由石英等的具有透光性的材料所構成的窗構件會藉由O型環等的密封材來氣密地密封內外而配置。
在如此的電漿處理裝置100中,真空容器101的側壁是被連結至在其內部具備真空搬送室的真空搬送容器,為未圖示的別的真空容器,該真空搬送室是處理對象的試料104會被保持於在該內部所配置的機械手的臂上而搬送的被減壓的空間。未被處理的試料104是在真空搬送室內被保持於機械手的臂上而搬送,藉由臂的伸長,被載置於臂的試料104會通過連通真空搬送室與處理室102之間的通路的內側來搬入至處理室102內。
被搬入至處理室102內的試料104是被交接至試料台105而載置於覆蓋試料台105上部的介電質製的膜的具有圓形的上面。一旦試料104通過的通路的真空搬送室側的開口藉由未圖示的閘閥來閉塞而處理室102內被氣密地密封,則會利用藉由被供給至介電質製的膜內的電極的直流電力所產生的靜電氣力來保持於該介電質製的膜上。然後,來自氣體源的處理用氣體會藉由流量調節器來適當地調節流量或速度,而從氣體導入孔供給至處理室102內,且通過被配置於試料台105的下方面向處理室102而配置的排氣用的開口,藉由構成排氣裝置的真空泵的動作來排除處理室102內的氣體至外部。
藉由被供給至處理室102的處理用氣體的流量或速度與根據真空泵的動作之來自排氣用的開口的排氣的流量或速度的平衡,處理室102內側的壓力會調節成適於試料104的處理的範圍內的值。電漿形成裝置所形成的電場或磁場會被供給至處理室102內,處理用的氣體的原子或分子會被激發而在處理室102內的試料台105或被載置保持於其上面的試料104的上方的空間形成電漿103。
在試料台105內部是配置有未圖示的金屬製的圓板或具有圓筒形的電極,與真空容器101外部的同樣未圖示的高頻電源電性連接。在形成有電漿103的狀態下,與電漿形成裝置的電場不同的頻率的高頻電力會從高頻電源供給至被配置於試料台105內的電極,在試料104上面上方的處理室102內形成對應於電漿103的電位的偏壓電位。
按照該偏壓電位與電漿的電位的電位差,電漿103中的離子等的荷電粒子會被引誘至試料104上面上方,藉由與具有複數的膜層(包含預先被配置於試料104的上面的藉由有機材料所構成的遮罩層及處理對象的膜層)的膜構造的表面衝突,試料104表面的膜層的蝕刻處理進展,電漿103中的自由基等的反應性高的活性種的原子或分子與處理對象的膜層的表面之間的物理性或化學性反應會被促進,在有關處理對象的膜層的荷電粒子的被引誘的方向的各向異性的處理被促進的處理對象的膜層的處理中,起因於包含電漿103中的活性種或上述物理性或化學性的反應之藉由電漿103與試料104表面的相互作用所產生的反應生成物,而光被放射。
本實施例是藉由蝕刻量檢測器110在該處理中檢測出在如此的處理中在處理室102內產生的發光,以高的精度來檢測出處理的量(例如,處理對象的膜或被配置於該膜上方的光阻劑等的遮罩層的剩餘膜厚,或被形成於處理對象的膜的溝或孔的蝕刻深度),構成可調節處理的終點的判定或被供給的處理用氣體的流量或處理室102內的壓力、用以形成電漿的電場或磁場的強度的值及其分佈等處理的條件。蝕刻量檢測器110是具備:被配置於窗構件的外側,接受通過窗構件之來自處理室102內的光之受光器,及將從受光器經由光纖106等的傳達器來傳達的光予以分解成預定的頻率或波長的複數的光譜之分光器111。尤其本實施例是在分光器111的內部具備未圖示的測定用光源(例如鹵素光源),自此放射的多波長的光是經由光纖106通過窗構件,對於在處理室102內的試料台105上面所配置的試料104表面的膜構造導入。
在本實施例中,窗構件是被配置於在處理室102的上方與試料104上面對向配置之被形成於構成處理室102的頂面的構件的貫通孔內,面向電漿103而配置,從測定用光源放射的放射光是通過該窗構件來進入至處理室102內,以和試料104上面垂直或看作是近似此程度的角度來射入。到達試料104上面的放射光是在預先被配置於試料104上面的膜構造的複數的膜層的境界面朝向處理室102反射,再度通過窗構件經由光纖106來傳達至蝕刻量檢測器110的受光器及光學性或電性連接至彼的分光器111。
垂直地射入至試料104表面,而在被配置於膜構造的不同的深度方向的位置的複數的膜層彼此之間的複數的境界被反射的放射光是互相干渉而成為具有對應於該等的深度位置之間的距離的強度的干渉光。根據含有複數的波長的放射光之如此的干渉光的各個的波長的光的強度是被導入至分光器111而按各波長被分解檢測出。
本實施例的蝕刻量檢測器110是具備從在試料104的處理中被檢測出的干渉光的強度的訊號來選擇以下所述的成為基本波長的代替的複數波長之機能,可高精度檢測出試料104上的處理對象的膜層例如多晶矽膜的蝕刻深度或剩餘膜厚度或遮罩層的剩餘膜厚度。並且,可高精度進行往蝕刻處理的終點的到達的判定。
在分光器111檢測出之表示預定的複數的波長的干渉光的強度的訊號是含在來自處理室102內的複數的波長的光的時間波形的成分,稱為發光強度訊號。發光強度訊號是被發送至與分光器111電性連接的發光強度資料庫112儲存。發光強度訊號是也被發送至終點判定部114。
被儲存於發光強度資料庫112的處理複數的晶圓時取得的發光強度訊號是被發送至波長選擇部113。在波長選擇部113中,從複數晶圓的發光強度訊號,對於各波長的強度變化計算與基本波長的強度變化的相互資訊量。而且,按照相互資訊量的大小來選擇蝕刻量或使用於終點的判定的波長。在波長選擇部113中選擇的波長及每波長的相互資訊量是被發送至終點判定部114。
終點判定部是使用在波長選擇部113中被選擇的波長,由從分光器111接受的發光強度訊號來檢測出蝕刻量,進行終點的判定。而且,亦可根據將在波長選擇部113中被算出的每波長的相互資訊量乘算後的發光強度訊號來檢測蝕刻量,進行終點的判定。作為如此的蝕刻量或終點的判定的技術是例如可使用日本特開2007-234666號公報等的以往周知的技術。
並且,在本實施例中,在終點判定部114檢測出的試料104的蝕刻量是被發送至具備CRT或液晶的監視器等構成的顯示器115來顯示。
其次,利用圖2來說明有關本實施例的波長選擇部113的構成。圖2是模式性地表示構成圖1所示的蝕刻量檢測器110的波長選擇部113的構成的方塊圖。
在圖2中,從發光強度資料庫112輸出而被發送至波長選擇部113的處理複數的晶圓時的發光強度訊號是首先被發送至差分算出器201,在此算出對於複數晶圓的每波長的時間波形的時間差分。藉由利用時間差分,可取得各波長的時間波形所具有的長期性的趨勢成分的影響少的時間波形。
在差分算出器201檢測出之表示對於複數晶圓的每波長的干渉光的強度的時間差分值的訊號是被發送至正規化處理器202,算出該等時間差分訊號的晶圓及時間整體的平均值、晶圓及時間整體的標準偏差值、及從對於複數晶圓的時間差分訊號扣除全晶圓及時間整體的平均值而以全晶圓及時間整體的標準偏差值來除算後的值(正規化差分訊號)。藉此,可期待長期性的趨勢成分的影響更進一步被減輕,且每波長的強度的大小的不同的影響會被減輕。
其次,在正規化處理器202算出的對於複數晶圓的正規化差分訊號是被發送至時間平滑化器203。時間平滑化器203是對於所接收的對於複數晶圓的正規化差分訊號,算出被平滑化於時間方向的訊號(時間平滑化訊號)。藉此,高頻雜訊的影響會被減輕。
其次,在時間平滑化器203算出的對於複數晶圓的時間平滑化訊號是被發送至相互資訊量算出器204。相互資訊量算出器204是根據所接收的對於複數晶圓的時間平滑化訊號,對於各波長的強度變化算出與基本波長的強度變化的相互資訊量。在本實施例是以適於終點檢測的基本波長會根據膜的組成的資訊來事前至少明確1個為前提。
其次,在相互資訊量算出器204算出的每波長的相互資訊量是被發送至波長選擇器205。波長選擇器205是選擇接收的相互資訊量大的波長。例如,亦可依相互資訊量大的順序選擇規定個數的波長,或亦可選擇相互資訊量為規定的臨界值以上的波長。
其次,說明在圖2所示的各個的方塊中被實施的處理的詳細。
首先,在本實施例中,基於方便起見,針對各個的晶圓、各個的波長,將在試料104的處理中的預定的期間的任意的時刻t從分光器111輸出之表示來自處理室102的各個的波長的光的強度的變化的時間波形的訊號予以表示成y_m,n,t。m是表示晶圓的索引(index),亦可以值會依處理後的順序增減的方式附上,或亦可為僅被適當地選擇的晶圓拾取而重新標注索引者。n是表示波長的索引,本實施例是亦可以從短波長到長波長,值會規則性地增減的方式附上,或亦可為拾取被適當地選擇的複數的波長來重新標注索引者。t是設為時間索引。
在差分算出器201是從y_m,n,t按每晶圓毎波長算出差分值Δy_m,n,t。作為算出的程序的例子,例如可思考如Δy_m,n,t=y_m,n,t-y_{m,n,t-1}般算出。在此,在最初的樣品點是設為Δy_m,n,t=0。
此差分是亦可用1次迴歸係數的傾斜度代替,同樣2次差分,亦可用2次迴歸係數的傾斜度代替。又,當每時間的訊號為每一單位時間可取得之類的情況,有關差分算出亦可取每一樣品進行之類的構成。
全部的時間的樣品可一次取得的情況(離線(off-line)處理),亦可設為以分批處理來求取全部的時間的差分值的構成。如此,在本發明之中的所有的處理同樣地取得:在每樣品取得資料時,在每樣品進行處理,一次取得樣品時,處理也一次進行之類的構成。
在正規化處理器202中,對於每晶圓每波長的差分值Δy_m,n,t的全晶圓及時間整體的平均值μ_n會以統計平均形式求取。而且,全晶圓及時間整體的標準偏差值σ_n會作為(Δy_m,n,t-μ_n)2的統計平均的平方根來算出。而且,正規化差分訊號會作為z_m,n,t=(Δy_m,n,t-μ_n)/σ_n來算出。
在時間平滑化器203中,對於每晶圓每波長的正規化差分訊號z_m,n,t,算出平滑化於時間方向的訊號(時間平滑化訊號)s_m,n,t。作為時間平滑化的程序的例子,例如,可如s_m,n,t=(z_{m,n,t-1}+z_m,n,t+z_{m,n,t+1})/3般以3點平均算出。在此,在最初的樣品點是設為s_m,n,t=(z_m,n,t+z_{m,n,t+1})/2。在最後的樣品點是設為s_m,n,t=(z_{m,n,t-1}+z_m,n,t)/2。
此時間平滑化是亦可為3點平均、5點平均、7點平均等任意的樣品個數的平均處理,亦可用中央值篩選(filtering)代替,亦可為低域通過濾波器的摺積運算,亦可為樣條平滑化(spline smoothing)。
在相互資訊量算出器204中,從每晶圓每波長的時間平滑化訊號s_m,n,t算出在各波長n的強度變化相對於在基本波長n_0的強度變化的相互資訊量I_n。作為相互資訊量計算的程序,首先,對於基本波長n_0,將全晶圓m、全時間t整體的s_{m,n_0,t}排列成昇序。將此昇序清單(list)設為L(n_0)。
其次,對於波長n,將全晶圓m、全時間t整體的s_m,n,t排列成昇序。將此昇序清單設為L(n)。將規定的最小離散化樣品數G_min代入至離散化樣品數G。然後,將被排列成昇序的L(n_0)的要素予以等分割成G個的群組。
將等分割後的清單設為LG(n_0)。將被排列成昇序的L(n)的要素予以等分割成G個的群組。將等分割後的清單設為LG(n)。在此,將LG(n_0)的群組的索引設為g,且將LG(n)的群組的索引設為h。
c(g)是設為LG(n_0)的群組g的要素數,c(h)是設為LG(n)的群組h的要素數。c(g,h)是設為s_{m,n_0,t}屬於LG(n_0)的群組g,且s_m,n,t屬於LG(n)的群組h之類的m與t的組的個數。 在此,相互資訊量是作為I_G,n=Σ_g Σ_h c(g,h)/ (MT)×{log(c(g,h)/(MT))-log(c(g)/(MT))-log(c(h)/(MT))}來算出。M是全晶圓數,T是全時間索引數。
其次,對G加算1,藉由同樣的處理,算出I_{G+1,n}。如此,一面使G從規定的最小離散化樣品數G_min增加至最大離散化樣品數G_max,一面計算I_{G_min,n},I_{G_min+1,n},・・・,I_{G_max,n}。在I_{G_min,n},I_{G_min+1,n},・・・,I_{G_max,n}之中,輸出最大的I_G,n作為最終的相互資訊量I_n。
在波長選擇器205中,對於每波長的相互資訊量I_n,從I_n為大的n起依序僅規定的個數選擇複數個。亦可選擇複數個I_n為規定的臨界值以上的n。
其次,利用圖3來說明有關本實施例的終點判定部114的構成。圖3是模式性地表示構成圖1所示的蝕刻量檢測器110的終點判定部114的構成的方塊圖。
在圖3中,從分光器111輸出而被發送至終點判定部114的每波長的發光強度訊號是首先被發送至差分算出器301,在此算出每波長的時間波形的時間差分。藉由利用時間差分,可取得各波長的時間波形所具有的長期性的趨勢成分的影響少的時間波形。
在差分算出器301檢測出之表示每波長的干渉光的強度的時間差分值的訊號是被發送至正規化處理器302,從時間差分訊號扣除全晶圓及時間整體的平均值而算出以全晶圓及時間整體的標準偏差值來除算後的值(正規化差分訊號)。藉此,可期待長期性的趨勢成分的影響更進一步被減輕,且每波長的強度的大小的不同的影響會被減輕。另外,作為時間差分訊號的晶圓及時間整體的平均值、晶圓及時間整體的標準偏差值,使用在波長選擇部113的正規化處理器202預先被計算的值。
其次,在正規化處理器302算出的正規化差分訊號是被發送至時間平滑化器303。時間平滑化器303是對於接收的正規化差分訊號算出被平滑化於時間方向的訊號(時間平滑化訊號)。藉此,高頻雜訊的影響會被減輕。
其次,在時間平滑化器303算出的時間平滑化訊號是被發送至相互資訊量乘法器304。相互資訊量乘法器304是對於接收的每波長的時間平滑化訊號算出將每波長的相互資訊量乘算後的訊號(相互資訊量乘算後訊號)。藉此,附加根據相互資訊量的權重,減輕蝕刻量或終點與發光強度變化的從屬性低的波長的影響。相互資訊量乘法器304是同時對於每波長的相互資訊量乘算後訊號,只留下從波長選擇部113傳送的選擇波長的訊號,消去除此以外的波長的訊號。藉此,可取得更高的選擇性。
其次,在相互資訊量乘法器304算出的相互資訊量乘算後訊號是被發送至蝕刻量推定器305。蝕刻量推定器305是對於排列了相互資訊量乘算後訊號的各時刻t的全波長的值的向量,算出與排列了和蝕刻量關聯而預先被保存的相互資訊量乘算後訊號的各時刻的全波長的值的向量的類似度,輸出與類似度最高的向量關聯的蝕刻量。作為向量彼此之間的類似度,例如可使用餘弦(cosine)類似度,亦可使用歐幾里得距離的倒數。
蝕刻量推定器305,作為別的方法,是對於排列了相互資訊量乘算後訊號的各時刻t的全波長的值的向量,算出與相互資訊量乘算後訊號的時刻t-D的全波長的值的向量的類似度。在此,D是規定的正的常數。進一步,對於算出的每時刻的類似度,計算時間差分值的絶對值。進一步,輸出時間差分絶對值的時間方向的累積值作為蝕刻量。
其次,在蝕刻量推定器305算出的蝕刻量是被發送至終點判定器306。終點判定器306是按照每時間的蝕刻量來判定現在時刻是否終點。是否為終點的判定是例如亦可藉由該時刻的蝕刻量為規定的臨界值以上來判定,或亦可藉由該時刻的蝕刻量的時間差分值的絶對值為臨界值以上來判定,或亦可藉由該時刻的蝕刻量的時間2次差分具有零交叉來判定。
將本實施例的蝕刻處理的流程圖顯示於圖4。圖4是表示圖1所示的實施例的電漿處理裝置判定蝕刻量的動作的流程的流程圖。
在本實施例中,電漿處理裝置100處理試料104之前或蝕刻處理包含預先被配置於試料104的表面的遮罩層及處理對象的膜層的膜構造之處理的開始或處理在處理中取得的資料之前,最初設定參數(步驟401)。在本實施例中,具備在運轉的開始前設定的構成。
其次,在處理室102內形成2電漿,藉由來自高頻電源的高頻電力,在試料104表面上方形成偏壓電位,開始試料104的上述處理對象的膜層的蝕刻處理之後,開始來自處理室102內的光的檢測(步驟402)。在本實施例中,如圖3所示般,在每樣品間隔Δt的時刻t檢測出接受來自處理室102內的光而分光取得的預定的複數的波長的光譜的各波長的光的強度的變化。從每間隔Δt被檢測出的複數的波長的光各個的強度的訊號,藉由差分計算來算出其時間差分Δy_n,t(步驟403)。
其次,對於取得的時間差分的訊號Δy_n,t算出正規化差分訊號z_n,t(步驟404)。進一步,對於正規化差分訊號z_n,t算出時間平滑化訊號s_n,t(步驟405)。對於取得的時間平滑化訊號s_n,t乘算相互資訊量I_n,算出相互資訊量乘算後訊號(步驟406)。根據相互資訊量乘算後訊號來推定蝕刻量(步驟407)。 按照如此被推定的蝕刻量來實行終點判定(步驟408),當被判定成到達所望的蝕刻量時,結束來自處理室102內的光的檢測,且電漿會被熄滅,結束根據此的試料104表面的處理對象的膜層的蝕刻處理(步驟409)。當被判斷成蝕刻量未達所望的值時,其次的該膜層的蝕刻會被繼續,在其次的時刻t+Δt,來自處理室102內的光的檢測會藉由蝕刻量檢測器110來實施。
上述蝕刻的終點或蝕刻量的判定是可使用檢測出來自接觸於處理對象的膜層的下方的境界而配置的下膜層的反應生成物的波長的來自電漿的發光的強度的變化等的以往所為人所知的手段、方法的技術,又,可使用檢測出來自包含預先被配置於試料104表面的複數的膜層的膜構造的複數的境界面的干渉光的強度的變化,比較此檢測結果與預先取得的剩餘膜厚度的值及以波長作為參數的干渉光的強度或其微分值的值的圖案,而檢測出來自剩餘膜厚度或初期的膜厚度的蝕刻量之以往的技術。
另外,本實施例是例如處理對象的膜層由不同的材料所構成,具有彼此地接觸境界而被上下層疊的2個的膜層,來自構成該等2個的膜層的前述材料或該材料與前述處理用的氣體的化合物的發光包含相互資訊量大的複數的波長時有效。
又,處理對象的膜層具有:下層的第1膜層,上層的第2膜層,及該第2膜層被形成於前述第1膜層上方時被夾於該等之間而形成的第3膜層,前述第1膜層及第2膜層或前述第2膜層及第3膜層為構成前述2個的膜層時,本實施例也有效。因為如此的情況,為了推定蝕刻量而應使用的波長的強度變化與基本波長的強度變化是不一定具有線形的相關關係,即使具有從屬關係,也有其關係為非線形的情況。非線形時,無法以相關係數等的指標來測量從屬性的高度,但若是相互資訊量,則有可測量從屬性的高度的優點。
另外,本發明是不被限定於上述的實施例,包含各種的變形例。例如,上述的實施例是為了容易理解本發明而詳細說明者,不是一定被限定於具備所說明的全部的構成者。
又,可將某實施例的構成的一部分置換成其他的實施例的構成,又,亦可在某實施例的構成加上其他的實施例的構成。又,可針對各實施例的構成的一部分實施其他的構成的追加・削除・置換。
又,上述的各構成、機能、處理部、處理手段等是亦可藉由例如積體電路設計等來以硬體實現該等的一部分或全部。又,上述的各構成、機能等是亦可藉由處理器解釋實行實現各個的機能的程式來以軟體實現。實現各機能的程式、表、檔案等的資訊是可放置於記憶體、硬碟、SSD(Solid State Drive)等的記錄裝置,或IC卡、SD卡、DVD等的記錄媒體。
又,控制線或資訊線是顯示思考說明上必要者,製品上不是一定被限於全部的控制線或資訊線所揭示者。在實際的裝置中,予以構成的多數的零件的各個或組合該等而構成的匯集單元彼此之間會互相地連接。
100:電漿處理裝置 101:真空容器 102:處理室 103:電漿 104:試料 105:試料台 106:光纖 110:蝕刻量檢測器 111:分光器 112:發光強度資料庫 113:波長選擇部 114:終點判定部 115:顯示器
[圖1]是模式性地表示本發明的實施例的電漿處理裝置的構成的概略的圖。 [圖2]是模式性地表示圖1所示的波長選擇器的構成的方塊圖。 [圖3]是模式性地表示圖1所示的終點判定器的方塊圖。 [圖4]是表示圖1所示的實施例的電漿處理裝置判定終點的動作的流程的流程圖。
100:電漿處理裝置
101:真空容器
102:處理室
103:電漿
104:試料
105:試料台
106:光纖
110:蝕刻量檢測器
111:分光器
112:發光強度資料庫
113:波長選擇部
114:終點判定部
115:顯示器

Claims (9)

  1. 一種電漿處理方法,係在真空容器內部的處理室內配置處理對象的晶圓,利用在前述處理室內供給處理用的氣體而產生的電漿來蝕刻預先被形成於前述晶圓的表面的處理對象的膜層之處理的該處理中的預定的時刻,利用在前述處理室內產生的發光來判定前述膜層的處理的終點的到達,其特徵為:根據檢測出從前述處理室內的發光的複數的波長之中選擇的波長,即表示前述預定的時刻的該波長的發光與其他的波長的發光之間的相互的依存性的相互資訊量大的至少2個的波長的前述發光的強度的時間變化的結果來判定前述膜層的處理的終點。
  2. 如請求項1之電漿處理方法,其中,前述處理對象的膜層具有由不同的材料所構成,彼此接觸境界而被層疊於上下的2個的膜層,前述至少2個的波長係從來自構成前述2個的膜層的前述材料或該材料與前述處理用的氣體的化合物的發光之中前述相互資訊量大的複數的波長者選擇。
  3. 如請求項2之電漿處理方法,其中,前述處理對象的膜層具有:下層的第1膜層,上層的第2膜層,及該第2膜層被形成於前述第1膜層上方時被夾於該等之間而形成的第3膜層,前述第1膜層及第2膜層或前述第2膜層及第3膜層為構成前述2個的膜層。
  4. 如請求項1至3中的任一項所記載的電漿 處理方法,其中,使用將前述2個的波長各個的相互資訊量的值與乘上前述發光的強度的值的時間變化或前述發光的強度的時間變化的值予以乘後的值來判定前述膜層的處理的終點。
  5. 如請求項1至3中的任一項所記載的電漿處理方法,其中,前述終點被判定之後變更處理前述處理對象的膜層的條件來處理該處理對象的膜層。
  6. 一種波長的選擇方法,係選擇使用於,在真空容器內部的處理室內配置處理對象的晶圓,利用在前述處理室內供給處理用的氣體而產生的電漿來蝕刻預先被形成於前述晶圓的表面的處理對象的膜層之處理的該處理中的預定的時刻根據檢測出在前述處理室內產生的發光的強度的時間變化之結果來進行的前述膜層的處理的終點的到達的判定之前述發光的預定的波長,其特徵為:選擇前述處理室內的發光的複數的波長中所含的波長,即表示前述預定的時刻的該波長的發光與其他的波長的發光之間的相互的依存性的相互資訊量大的至少2個的波長。
  7. 如請求項6之波長的選擇方法,其中,前述處理對象的膜層具有由不同的材料所構成,彼此接觸境界而被層疊於上下的2個的膜層,從來自構成前述2個的膜層的前述材料或該材料與前述處理用的氣體的化合物的發光之中前述相互資訊量大的複數的波長者選擇前述至少2個的波長。
  8. 如請求項7之波長的選擇方法,其中,前述處理對象的膜層具有:下層的第1膜層,上層的第2膜層,及該第2膜層被形成於前述第1膜層上方時被夾於該等之間而形成的第3膜層,前述第1膜層及第2膜層或前述第2膜層及第3膜層為構成前述2個的膜層。
  9. 如請求項6至8中的任一項所記載之波長的選擇方法,其中,前述膜層的處理的終點的判定,係使用將前述2個的波長各個的相互資訊量的值與乘上前述發光的強度的值的時間變化或前述發光的強度的時間變化的值予以乘後的值來進行。
TW109129496A 2019-12-23 2020-08-28 電漿處理方法及使用在電漿處理的波長選擇方法 TWI770596B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
PCT/JP2019/050253 WO2021130798A1 (ja) 2019-12-23 2019-12-23 プラズマ処理方法およびプラズマ処理に用いる波長選択方法
WOPCT/JP2019/050253 2019-12-23

Publications (2)

Publication Number Publication Date
TW202125623A TW202125623A (zh) 2021-07-01
TWI770596B true TWI770596B (zh) 2022-07-11

Family

ID=76574121

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109129496A TWI770596B (zh) 2019-12-23 2020-08-28 電漿處理方法及使用在電漿處理的波長選擇方法

Country Status (6)

Country Link
US (1) US11569135B2 (zh)
JP (1) JP7094377B2 (zh)
KR (1) KR102429079B1 (zh)
CN (1) CN113302722B (zh)
TW (1) TWI770596B (zh)
WO (1) WO2021130798A1 (zh)

Families Citing this family (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6239294B2 (ja) 2013-07-18 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の運転方法

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040165193A1 (en) * 2003-02-26 2004-08-26 Yutaka Ohmoto Method of dry etching a sample and dry etching system
TW201438094A (zh) * 2013-03-29 2014-10-01 Hitachi High Tech Corp 電漿處理裝置及電漿處理方法
TW201724161A (zh) * 2015-12-17 2017-07-01 日立全球先端科技股份有限公司 電漿處理裝置及電漿處理裝置的運轉方法
US20180277377A1 (en) * 2017-03-21 2018-09-27 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method
TW201841247A (zh) * 2017-03-17 2018-11-16 日立全球先端科技股份有限公司 蝕刻方法及電漿處理裝置

Family Cites Families (58)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4238312A (en) 1979-07-23 1980-12-09 International Business Machines Corporation Sputtering system for optimizing quartz deposition uniformity
JPS6153728A (ja) 1984-08-24 1986-03-17 Hitachi Ltd エツチング終点判定方法
JPS62165920A (ja) 1986-01-17 1987-07-22 Hitachi Ltd エツチング終点判定装置
JPH0773105B2 (ja) 1987-02-16 1995-08-02 日電アネルバ株式会社 プラズマ処理装置
JPH07114195B2 (ja) 1987-04-13 1995-12-06 株式会社日立製作所 エッチング終点判定方法
US5841651A (en) 1992-11-09 1998-11-24 The United States Of America As Represented By The United States Department Of Energy Closed loop adaptive control of spectrum-producing step using neural networks
US5664066A (en) 1992-11-09 1997-09-02 The United States Of America As Represented By The United States Department Of Energy Intelligent system for automatic feature detection and selection or identification
US5565114A (en) 1993-03-04 1996-10-15 Tokyo Electron Limited Method and device for detecting the end point of plasma process
JP3195695B2 (ja) * 1993-08-23 2001-08-06 東京エレクトロン株式会社 プラズマ処理方法
FR2704111B1 (fr) 1993-04-16 1995-05-24 Sextant Avionique Procédé de détection énergétique de signaux noyés dans du bruit.
US5711843A (en) 1995-02-21 1998-01-27 Orincon Technologies, Inc. System for indirectly monitoring and controlling a process with particular application to plasma processes
US5991525A (en) 1997-08-22 1999-11-23 Voyan Technology Method for real-time nonlinear system state estimation and control
JP3383236B2 (ja) 1998-12-01 2003-03-04 株式会社日立製作所 エッチング終点判定方法及びエッチング終点判定装置
JP3116949B2 (ja) 1999-01-22 2000-12-11 日本電気株式会社 加工プロセス終了点実時間判定方法
JP4007748B2 (ja) 2000-05-12 2007-11-14 東京応化工業株式会社 プラズマエッチング処理の終点検出方法
US6447369B1 (en) 2000-08-30 2002-09-10 Micron Technology, Inc. Planarizing machines and alignment systems for mechanical and/or chemical-mechanical planarization of microelectronic substrates
US6609947B1 (en) 2000-08-30 2003-08-26 Micron Technology, Inc. Planarizing machines and control systems for mechanical and/or chemical-mechanical planarization of micro electronic substrates
GB0026868D0 (en) 2000-11-03 2000-12-20 Isis Innovation Control of deposition and other processes
US6635573B2 (en) * 2001-10-29 2003-10-21 Applied Materials, Inc Method of detecting an endpoint during etching of a material within a recess
TWI246725B (en) 2002-10-31 2006-01-01 Tokyo Electron Ltd Method and apparatus for detecting endpoint
DE602004017983D1 (de) 2003-05-09 2009-01-08 Unaxis Usa Inc Endpunkt-Erkennung in einem zeitlich gemultiplexten Verfahren unter Verwendung eines Hüllkurvenalgorithmus
US7158851B2 (en) 2003-06-30 2007-01-02 Tokyo Electron Limited Feedforward, feedback wafer to wafer control method for an etch process
KR100578135B1 (ko) 2003-12-19 2006-05-10 삼성전자주식회사 식각 중단점을 결정하는 방법
US7328418B2 (en) 2005-02-01 2008-02-05 Tokyo Electron Limited Iso/nested control for soft mask processing
US7723120B2 (en) 2005-10-26 2010-05-25 General Electric Company Optical sensor array system and method for parallel processing of chemical and biochemical information
US7567700B2 (en) 2006-03-28 2009-07-28 Tokyo Electron Limited Dynamic metrology sampling with wafer uniformity control
US20070238201A1 (en) 2006-03-28 2007-10-11 Merritt Funk Dynamic metrology sampling with wafer uniformity control
US7619731B2 (en) 2006-03-30 2009-11-17 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US7623978B2 (en) 2006-03-30 2009-11-24 Tokyo Electron Limited Damage assessment of a wafer using optical metrology
US7576851B2 (en) 2006-03-30 2009-08-18 Tokyo Electron Limited Creating a library for measuring a damaged structure formed on a wafer using optical metrology
US7324193B2 (en) 2006-03-30 2008-01-29 Tokyo Electron Limited Measuring a damaged structure formed on a wafer using optical metrology
US8284401B2 (en) 2007-07-10 2012-10-09 Nanolambda, Inc. Digital filter spectrum sensor
US20090089024A1 (en) 2007-09-28 2009-04-02 Chung-Ho Huang Methods and arrangement for creating models for fine-tuning recipes
US7967995B2 (en) 2008-03-31 2011-06-28 Tokyo Electron Limited Multi-layer/multi-input/multi-output (MLMIMO) models and method for using
US8019458B2 (en) 2008-08-06 2011-09-13 Tokyo Electron Limited Creating multi-layer/multi-input/multi-output (MLMIMO) models for metal-gate structures
US7894927B2 (en) 2008-08-06 2011-02-22 Tokyo Electron Limited Using Multi-Layer/Multi-Input/Multi-Output (MLMIMO) models for metal-gate structures
JP5383265B2 (ja) 2009-03-17 2014-01-08 株式会社日立ハイテクノロジーズ エッチング装置、分析装置、エッチング処理方法、およびエッチング処理プログラム
KR100945889B1 (ko) * 2009-05-08 2010-03-05 가부시키가이샤 히다치 하이테크놀로지즈 플라즈마 처리의 판정방법
JP5458693B2 (ja) * 2009-06-26 2014-04-02 凸版印刷株式会社 終点検出装置
US8898040B2 (en) 2009-09-03 2014-11-25 Adaptics, Inc. Method and system for empirical modeling of time-varying, parameter-varying, and nonlinear systems via iterative linear subspace computation
US8877080B2 (en) 2010-10-18 2014-11-04 Tokyo Electron Limited Using vacuum ultra-violet (VUV) data in microwave sources
US8501499B2 (en) 2011-03-28 2013-08-06 Tokyo Electron Limited Adaptive recipe selector
US8532796B2 (en) 2011-03-31 2013-09-10 Tokyo Electron Limited Contact processing using multi-input/multi-output (MIMO) models
JP5411215B2 (ja) * 2011-08-01 2014-02-12 株式会社日立ハイテクノロジーズ プラズマ処理装置
US20130246006A1 (en) 2012-03-13 2013-09-19 King Fahd University Of Petroleum And Minerals Method for kalman filter state estimation in bilinear systems
JP6002487B2 (ja) * 2012-07-20 2016-10-05 株式会社日立ハイテクノロジーズ 分析方法、分析装置、及びエッチング処理システム
US10043224B2 (en) 2012-08-10 2018-08-07 Itron, Inc. Unified framework for electrical load forecasting
JP6088867B2 (ja) 2013-03-15 2017-03-01 株式会社日立ハイテクノロジーズ プラズマ処理装置及び分析装置
WO2014176436A1 (en) 2013-04-24 2014-10-30 The General Hospital Corporation System and method for estimating high time-frequency resolution eeg spectrograms to monitor patient state
JP5744965B2 (ja) * 2013-05-15 2015-07-08 株式会社日立ハイテクノロジーズ 欠陥検査方法及びその装置
US20140367260A1 (en) 2013-06-14 2014-12-18 Nanophoretics Llc Method and Apparatus for Identifying Objects in a Plurality of Objects Using Dielectrophoresis
JP6239294B2 (ja) 2013-07-18 2017-11-29 株式会社日立ハイテクノロジーズ プラズマ処理装置及びプラズマ処理装置の運転方法
US9774613B2 (en) 2014-12-15 2017-09-26 Sophos Limited Server drift monitoring
US9865439B2 (en) * 2015-01-19 2018-01-09 Hitachi High-Technologies Corporation Plasma processing apparatus
JP6553398B2 (ja) 2015-05-12 2019-07-31 株式会社日立ハイテクノロジーズ プラズマ処理装置、データ処理装置およびデータ処理方法
JP6504915B2 (ja) * 2015-05-25 2019-04-24 株式会社日立ハイテクノロジーズ プラズマ処理装置およびプラズマ処理方法
US20180275621A1 (en) 2017-03-24 2018-09-27 Mitsubishi Electric Research Laboratories, Inc. Model Predictive Control with Uncertainties
JP6762401B2 (ja) * 2019-04-25 2020-09-30 株式会社日立ハイテク プラズマ処理装置およびプラズマ処理方法

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040165193A1 (en) * 2003-02-26 2004-08-26 Yutaka Ohmoto Method of dry etching a sample and dry etching system
TW201438094A (zh) * 2013-03-29 2014-10-01 Hitachi High Tech Corp 電漿處理裝置及電漿處理方法
TW201724161A (zh) * 2015-12-17 2017-07-01 日立全球先端科技股份有限公司 電漿處理裝置及電漿處理裝置的運轉方法
TW201841247A (zh) * 2017-03-17 2018-11-16 日立全球先端科技股份有限公司 蝕刻方法及電漿處理裝置
US20180277377A1 (en) * 2017-03-21 2018-09-27 Hitachi High-Technologies Corporation Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
WO2021130798A1 (ja) 2021-07-01
JPWO2021130798A1 (ja) 2021-12-23
JP7094377B2 (ja) 2022-07-01
CN113302722B (zh) 2023-12-08
KR20210084340A (ko) 2021-07-07
KR102429079B1 (ko) 2022-08-03
US11569135B2 (en) 2023-01-31
US20210249317A1 (en) 2021-08-12
CN113302722A (zh) 2021-08-24
TW202125623A (zh) 2021-07-01

Similar Documents

Publication Publication Date Title
KR101520872B1 (ko) 플라즈마 처리 장치 및 플라즈마 처리 방법
US9934946B2 (en) Plasma processing apparatus and operating method of plasma processing apparatus
KR100769607B1 (ko) 반도체 웨이퍼의 처리방법 및 처리장치
TWI593020B (zh) Plasma processing apparatus and plasma processing method
TWI615901B (zh) 電漿處理裝置及電漿處理方法
TWI770596B (zh) 電漿處理方法及使用在電漿處理的波長選擇方法
US20220367298A1 (en) Plasma processing apparatus and plasma processing method
JP6762401B2 (ja) プラズマ処理装置およびプラズマ処理方法
US7329549B2 (en) Monitoring method of processing state and processing unit
JP2021061380A (ja) クリーニング条件の決定方法及びプラズマ処理装置
KR100733120B1 (ko) 반도체 웨이퍼처리의 검출방법 및 검출장치