TWI765520B - 半導體封裝以及其製造方法 - Google Patents

半導體封裝以及其製造方法 Download PDF

Info

Publication number
TWI765520B
TWI765520B TW110100764A TW110100764A TWI765520B TW I765520 B TWI765520 B TW I765520B TW 110100764 A TW110100764 A TW 110100764A TW 110100764 A TW110100764 A TW 110100764A TW I765520 B TWI765520 B TW I765520B
Authority
TW
Taiwan
Prior art keywords
block
wafer
die
dielectric layer
rdl
Prior art date
Application number
TW110100764A
Other languages
English (en)
Other versions
TW202115841A (zh
Inventor
大衛 錫納樂
麥克 凱利
羅納 休莫勒
金陽瑞
鄭季洋
張明河
納都漢
Original Assignee
美商艾馬克科技公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商艾馬克科技公司 filed Critical 美商艾馬克科技公司
Publication of TW202115841A publication Critical patent/TW202115841A/zh
Application granted granted Critical
Publication of TWI765520B publication Critical patent/TWI765520B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/18High density interconnect [HDI] connectors; Manufacturing methods related thereto
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/07Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00
    • H01L25/072Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L29/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/4857Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/48Manufacture or treatment of parts, e.g. containers, prior to assembly of the devices, using processes not provided for in a single one of the subgroups H01L21/06 - H01L21/326
    • H01L21/4814Conductive parts
    • H01L21/4846Leads on or in insulating or insulated substrates, e.g. metallisation
    • H01L21/486Via connections through the substrate with or without pins
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/561Batch processing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/482Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body
    • H01L23/485Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of lead-in layers inseparably applied to the semiconductor body consisting of layered constructions comprising conductive layers and insulating layers, e.g. planar contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49822Multilayer substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49827Via connections through the substrates, e.g. pins going through the substrate, coaxial cables
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/93Batch processes
    • H01L24/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L24/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/03Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes
    • H01L25/04Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers
    • H01L25/065Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00
    • H01L25/0655Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof all the devices being of a type provided for in the same subgroup of groups H01L27/00 - H01L33/00, or in a single subclass of H10K, H10N, e.g. assemblies of rectifier diodes the devices not having separate containers the devices being of a type provided for in group H01L27/00 the devices being arranged next to each other
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L25/00Assemblies consisting of a plurality of individual semiconductor or other solid state devices ; Multistep manufacturing processes thereof
    • H01L25/50Multistep manufacturing processes of assemblies consisting of devices, each device being of a type provided for in group H01L27/00 or H01L29/00
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/60Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation
    • H01L2021/60007Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process
    • H01L2021/60022Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting
    • H01L2021/6006Attaching or detaching leads or other conductive members, to be used for carrying current to or from the device in operation involving a soldering or an alloying process using bump connectors, e.g. for flip chip mounting with temporary supporting member not part of an apparatus, e.g. removable coating, film or substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • H01L21/568Temporary substrate used as encapsulation process aid
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/10Bump connectors; Manufacturing methods related thereto
    • H01L2224/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L2224/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • H01L2224/161Disposition
    • H01L2224/16151Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/16221Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/16225Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • H01L2224/16227Disposition the bump connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation the bump connector connecting to a bond pad of the item
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L2224/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L2224/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L2224/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • H01L2224/321Disposition
    • H01L2224/32151Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive
    • H01L2224/32221Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked
    • H01L2224/32225Disposition the layer connector connecting between a semiconductor or solid-state body and an item not being a semiconductor or solid-state body, e.g. chip-to-substrate, chip-to-passive the body and the item being stacked the item being non-metallic, e.g. insulating substrate with or without metallisation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/73Means for bonding being of different types provided for in two or more of groups H01L2224/10, H01L2224/18, H01L2224/26, H01L2224/34, H01L2224/42, H01L2224/50, H01L2224/63, H01L2224/71
    • H01L2224/732Location after the connecting process
    • H01L2224/73201Location after the connecting process on the same surface
    • H01L2224/73203Bump and layer connectors
    • H01L2224/73204Bump and layer connectors the bump connector being embedded into the layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/81001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/81005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • H01L2224/812Applying energy for connecting
    • H01L2224/81201Compression bonding
    • H01L2224/81203Thermocompression bonding, e.g. diffusion bonding, pressure joining, thermocompression welding or solid-state welding
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L2224/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • H01L2224/83001Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus
    • H01L2224/83005Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector involving a temporary auxiliary member not forming part of the bonding apparatus being a temporary or sacrificial substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L2224/80 - H01L2224/90
    • H01L2224/92Specific sequence of method steps
    • H01L2224/921Connecting a surface with connectors of different types
    • H01L2224/9212Sequential connecting processes
    • H01L2224/92122Sequential connecting processes the first connecting process involving a bump connector
    • H01L2224/92125Sequential connecting processes the first connecting process involving a bump connector the second connecting process involving a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2224/00Indexing scheme for arrangements for connecting or disconnecting semiconductor or solid-state bodies and methods related thereto as covered by H01L24/00
    • H01L2224/93Batch processes
    • H01L2224/95Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips
    • H01L2224/97Batch processes at chip-level, i.e. with connecting carried out on a plurality of singulated devices, i.e. on diced chips the devices being connected to a common substrate, e.g. interposer, said common substrate being separable into individual assemblies after connecting
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/28Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection
    • H01L23/31Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape
    • H01L23/3107Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed
    • H01L23/3121Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation
    • H01L23/3128Encapsulations, e.g. encapsulating layers, coatings, e.g. for protection characterised by the arrangement or shape the device being completely enclosed a substrate forming part of the encapsulation the substrate having spherical bumps for external connection
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/488Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor consisting of soldered or bonded constructions
    • H01L23/498Leads, i.e. metallisations or lead-frames on insulating substrates, e.g. chip carriers
    • H01L23/49811Additional leads joined to the metallisation on the insulating substrate, e.g. pins, bumps, wires, flat leads
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/10Bump connectors ; Manufacturing methods related thereto
    • H01L24/15Structure, shape, material or disposition of the bump connectors after the connecting process
    • H01L24/16Structure, shape, material or disposition of the bump connectors after the connecting process of an individual bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/01Means for bonding being attached to, or being formed on, the surface to be connected, e.g. chip-to-package, die-attach, "first-level" interconnects; Manufacturing methods related thereto
    • H01L24/26Layer connectors, e.g. plate connectors, solder or adhesive layers; Manufacturing methods related thereto
    • H01L24/31Structure, shape, material or disposition of the layer connectors after the connecting process
    • H01L24/32Structure, shape, material or disposition of the layer connectors after the connecting process of an individual layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/73Means for bonding being of different types provided for in two or more of groups H01L24/10, H01L24/18, H01L24/26, H01L24/34, H01L24/42, H01L24/50, H01L24/63, H01L24/71
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/81Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a bump connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/80Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected
    • H01L24/83Methods for connecting semiconductor or other solid state bodies using means for bonding being attached to, or being formed on, the surface to be connected using a layer connector
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L24/00Arrangements for connecting or disconnecting semiconductor or solid-state bodies; Methods or apparatus related thereto
    • H01L24/91Methods for connecting semiconductor or solid state bodies including different methods provided for in two or more of groups H01L24/80 - H01L24/90
    • H01L24/92Specific sequence of method steps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/1517Multilayer substrate
    • H01L2924/15192Resurf arrangement of the internal vias
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/151Die mounting substrate
    • H01L2924/153Connection portion
    • H01L2924/1531Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface
    • H01L2924/15311Connection portion the connection portion being formed only on the surface of the substrate opposite to the die mounting surface being a ball array, e.g. BGA
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/15Details of package parts other than the semiconductor or other solid state devices to be connected
    • H01L2924/161Cap
    • H01L2924/1615Shape
    • H01L2924/16151Cap comprising an aperture, e.g. for pressure control, encapsulation

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Structures Or Materials For Encapsulating Or Coating Semiconductor Devices Or Solid State Devices (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Geometry (AREA)

Abstract

一種具有增強的插入物品質的半導體裝置,以及其製造方法。舉例來說且不受限制,本發明的各種方面提供一種插入晶粒,其包括包括至少第一介電層和第一傳導層的第一信號分佈結構,其中所述信號分佈結構在側邊緣處受到保護層保護。並且,舉例來說,本發明的各種方面提供一種製造包括此插入晶粒的半導體裝置的方法。

Description

半導體封裝以及其製造方法
本發明是關於半導體封裝以及其製造方法。 相關申請案的交叉參考/以引用的方式併入
本申請案與以下各者有關:2015年8月11日申請且題為“半導體封裝以及其製造方法(Semiconductor Package and Fabricating Method Thereof)”的美國專利申請案第14/823,689號;和在2016年1月27日申請且題為“半導體封裝以及其製造方法(Semiconductor Package and Fabricating Method Thereof)”的美國臨時專利申請案第62/287,544號中揭示的內容;所述申請案中的每一個的全部內容在此被以引用的方式併入本文中。
目前的半導體封裝體和用於形成半導體封裝體的方法不適當,例如,導致過多成本、可靠性降低或封裝大小過大。通過比較常規和傳統方法與如在本申請案的其餘部分中參看圖式闡述的本發明,此類方法的另外的限制和缺點將對所屬領域的技術人員變得顯而易見。
本發明的各種方面提供一種具有增強的插入物品質的半導體裝置,以及其製造方法。舉例來說且不受限制,本發明的各種方面提供一種插入晶粒,其包括包括至少第一介電層和第一傳導層的第一信號分佈結構,其中所述信號分佈結構在側邊緣處受到保護層保護。並且,舉例來說,本發明的各種方面提供一種製造包括此插入晶粒的半導體裝置的方法。
以下論述通過提供其實例來提出本發明的各種方面。此類實例是非限制性的,並且因此本發明的各種方面的範圍應不必受所提供的實例的任何特定特性限制。在以下論述中,短語“舉例來說”、“例如”和“示範性”是非限制性的且大體與“借助於實例而非限制”、“舉例來說且不受限制”和類似者同義。
如本文中利用,“和/或”意味著由“和/或”接合的列表中的項目中的任何一或多個。作為實例,“x和/或y”意味著三元素集合{(x), (y), (x, y)}中的任一元素。換句話說,“x和/或y”意味著“x和y中的一個或兩個”。作為另一實例,“x、y和/或z”意味著七元素集合{(x), (y), (z), (x, y), (x, z), (y, z), (x, y, z)}中的任一元素。換句話說,“x、y和/或z”意味著“x、y和z中的一或多個”。
本文中所使用的術語僅出於描述特定實例的目的,且並不希望限制本發明。如本文中所使用,除非上下文另外明確指示,否則單數形式也希望包含複數形式。將進一步理解,術語“包括”、“包含”、“具有”和類似者當在本說明書中使用時,指定所陳述特徵、整體、步驟、操作、元件和/或構件的存在,但是不排除一或多個其它特徵、整體、步驟、操作、元件、構件和/或其群組的存在或添加。
應理解,雖然術語“第一”、“第二”等可在本文中用以描述各種元件,但這些元件不應受這些術語限制。這些術語僅用以將一個元件與另一元件區分開來。因此,舉例來說,在不脫離本發明的教示的情況下,下文論述的第一元件、第一構件或第一區段可被稱為第二元件、第二構件或第二區段。類似地,各種空間術語,例如“上部”、“下部”、“側部”和類似者可用於以相對方式將一個元件與另一元件區分開來中。然而,應理解,構件可以不同方式定向,例如,在不脫離本發明的教示的情況下,半導體裝置或封裝可側向轉動使得其“頂”表面水平地面向且其“側”表面垂直地面向。
本發明的各種方面提供一種半導體裝置或封裝和其製造方法,這可降低成本,增大可靠性,和/或增大半導體裝置或封裝的可製造性。
本發明的以上和其它方面將在各種實例實施方案的以下描述中進行描述並從各種實例實施方案的以下描述顯而易見。現將參看附圖提出本發明的各種方面。
圖1展示根據本發明的各種方面的用於製造半導體裝置的方法100。實例方法100可(例如)與本文中(例如)關於圖2A到圖2O、圖3、圖4A到圖4J、圖5、圖6A到圖6H、圖7等論述的其它實例方法共用任何或所有特性。
圖2A到圖2O為說明圖1的實例方法100的各種方面的橫截面圖,展示根據此實例方法100製造的半導體裝置。在圖2A到圖2O中展示的方法和結構可與在圖1、圖3、圖4A到圖4J、圖5、圖6A到圖6H、圖7等中展示的類似方法和結構共用任何或所有特性。
現將一起論述圖1和圖2A到圖2O。應注意,在不脫離本發明的範圍的情況下,方法100的實例塊的次序可變化。還應注意,在不脫離本發明的範圍的情況下,可省略方法100的實例塊中的任一個和/或可插入其它塊。
實例方法100可在方塊105包括製備邏輯晶圓(或多個邏輯晶圓,例如,具有相同或不同相應類型的晶粒)供處理(例如,用於封裝)。方塊105可包括以多種方式中的任何者製備邏輯晶圓供處理,本文中提供其非限制性方式。注意,也可製備各種被動元件,例如,被製備以用於附著。邏輯晶粒在本文中也可被稱作功能晶粒。
舉例來說,方塊105可包括接收邏輯晶圓,例如,從供應商裝運,自製造點的上游工藝等。邏輯晶圓可(例如)包括包括多個主動半導體晶粒的半導體晶圓。半導體晶粒可(例如)包括處理器晶粒、記憶體晶粒、可程式設計邏輯晶粒、專用積體電路晶粒、通用邏輯晶粒等。
方塊105可(例如)包括在邏輯晶圓上形成傳導性互連結構。此類傳導性互連結構可(例如)包括傳導性襯墊、平台(land)、凸塊或球、傳導柱等。形成可(例如)包括將預先形成的互連結構附著至邏輯晶圓,鍍覆邏輯晶圓上的互連結構等。
在實例實施方案中,傳導結構可包括包括銅和/或鎳的傳導柱,且可包括焊料蓋(例如,包括錫和/或銀)。舉例來說,包括傳導柱的傳導結構可包括:(a)凸塊下金屬化(“UBM”)結構,其包含(i)通過濺鍍形成的鈦-鎢(TiW)層(其可被稱作“種子層”),和(ii)在通過濺鍍形成的鈦-鎢層上的銅(Cu)層,(b)通過電鍍形成於UBM上的銅柱,和(c)形成於銅柱上的焊料層,或形成於銅柱上的鎳層,其中焊料層形成於鎳層上。
並且,在實例實施方案中,傳導結構可包括鉛和/或無鉛晶圓凸塊。舉例來說,無鉛晶圓凸塊(或互連結構)可至少部分通過以下操作形成:(a)通過以下操作來形成凸塊下金屬化(UBM)結構:(i)通過濺鍍形成鈦(Ti)或鈦-鎢(TiW)層,(ii)通過濺鍍在鈦或鈦-鎢層上形成銅(Cu)層,(iii)和通過電鍍在銅層上形成鎳(Ni)層;和(b)通過電鍍在UBM結構的鎳層上形成無鉛焊接材料,其中無鉛焊接材料具有按重量計1%到4%的銀(Ag)的組成,且組合物的其餘部分按重量計為錫(Sn)。
方塊105可(例如)包括執行邏輯晶圓的部分或完全變薄(例如,研磨、蝕刻等)。方塊105還可(例如)包括將邏輯晶圓切塊成單獨的晶粒或晶粒集合供稍後附著。方塊105還可包括從製造設施處的鄰近或上游製造站、從另一地理位置等接收邏輯晶圓。邏輯晶圓可(例如)已製備好地接收,或可執行額外製備步驟。
一般來說,方塊105可包括製備邏輯晶圓供處理(例如,用於封裝)。因此,本發明的範圍不應受到特定類型的邏輯晶圓和/或晶粒處理的特性限制。
實例方法100可在方塊110包括製備載體、基板或晶圓。製備的(或接受的)晶圓可被稱作再分佈結構晶圓或RD晶圓。方塊110可包括以多種方式中的任何者製備RD晶圓供處理,本文中提供其非限制性實例。
RD晶圓可(例如)包括插入晶圓、封裝基板的晶圓等。RD晶圓可(例如)包括形成(例如,基於逐個晶粒)於半導體(例如,矽)晶圓上的再分佈結構(或信號分佈結構)。RD晶圓可(例如)只包括電路徑且不包括電子裝置(例如,半導體裝置、被動電子裝置等)。RD晶圓也可(例如)包括被動電子裝置(例如,整合式被動裝置),但不包括主動半導體裝置。RD晶圓可另外(例如)包括半導體裝置和/或被動裝置。舉例來說,RD晶圓可包括形成於(例如,直接或間接形成於)基板或載體上或耦合到基板或載體的一或多個傳導層或跡線。載體或基板的實例可包括半導體(例如,矽等)晶圓或玻璃基板。用以在半導體晶圓上形成傳導層(例如,銅、鋁、鎢等)的工藝的實例包含利用半導體晶圓製造工藝,其在本文中也可被稱作後段生產線(BEOL)。在實例實施方案中,可使用濺鍍、電鍍工藝、無電鍍覆等將傳導層沉積於基板上。傳導層在本文中可被稱作再分佈層。傳導層可用以在兩個或更多個電連接之間導引電信號,和/或將電連接導引到較寬或較窄間距。
在實例實施方案中,可形成具有次微米間距(或中心到中心間隔)和/或小於2微米間距的再分佈結構的各種部分(例如,可附著到電子裝置的互連結構(例如,平台、跡線等))。在各種其它實施方案中,可利用2到5微米間距。
在實例實施方案中,其上形成再分佈結構的矽晶圓可包括比可充分用以形成最終附著到再分佈結構的半導體晶粒的等級低的矽。在另一實例實施方案中,矽晶圓可為來自不合格的半導體裝置晶圓製造的回收的矽晶圓。在再一實例實施方案中,矽晶圓可包括比可充分用以形成最終附著到再分佈結構的半導體晶粒薄的矽層。
方塊110還可包括從製造設施處的鄰近或上游製造站、從另一地理位置等接收RD晶圓。RD晶圓可(例如)已製備好地接收,或可執行額外製備步驟。
圖2A提供方塊110的各種方面的實例說明。參看圖2A,RD晶圓200A可(例如)包括支撐層205(例如,矽或其它半導體層、玻璃層等)。再分佈(RD)結構210可形成於支撐層205上。RD結構210可(例如)包括基底介電層211、第一介電層213、第一傳導跡線212、第二介電層216、第二傳導跡線215和互連結構217。RD結構210可(例如)為無核心信號分佈結構(例如,無基板核心)。RD結構210也可在此處被稱作信號分佈結構。
基底介電層211可(例如)在支撐層205上。基底介電層211可(例如)包括氧化物層、氮化物層等。基底介電層211可(例如)規範地形成和/或可為原生。基底介電層211可被稱作鈍化層。基底介電層211可為或包括(例如)使用低壓化學氣相沉積(LPCVD)工藝形成的二氧化矽層。
RD晶圓200A還可(例如)包括第一傳導跡線212和第一介電層213。第一傳導跡線212可(例如)包括沉積的傳導金屬(例如,銅、鋁、鎢等)。第一傳導跡線212可(例如)通過濺鍍、鍍覆(例如,電鍍或無電鍍覆)等形成。第一傳導跡線212可(例如)按次微米或次兩微米間距(或中心到中心間隔)形成。第一介電層213可(例如)包括無機介電材料(例如,氧化矽、氮化矽等)。注意,在各種實施方案中,第一介電層213可在第一傳導跡線212前形成,例如,形成有接著填充有第一傳導跡線212或其一部分的孔隙。在(例如)包括銅傳導跡線的實例實施方案中,可利用雙重鑲嵌工藝形成跡線。
在替代性實施方案中,第一介電層213可包括有機介電材料。舉例來說,第一介電層213可包括雙馬來醯亞胺三嗪(BT)、酚系樹脂、聚醯亞胺(PI)、苯並環丁烯(BCB)、聚苯並惡唑(PBO)、環氧樹脂和其等效物和其化合物,但本發明的各方面並不限於此。有機介電材料可以多種方式中的任何者形成,例如,旋塗、噴塗、印刷、燒結、熱氧化、物理氣相沉積(PVD)、化學氣相沉積(CVD)、電漿氣相沉積、薄片層壓化學氣相沉積(CVD)等。在此替代性實施方案中,第一傳導跡線212可(例如)處於2到5微米間距(或中心到中心間隔)。
RD晶圓200A還可(例如)包括第二傳導跡線215和第二介電層216。第二傳導跡線215可(例如)包括沉積的傳導金屬(例如,銅等)。第二傳導跡線215可(例如)通過相應傳導性導通孔214(例如,在第一介電層213中)連接到相應第一傳導跡線212。第二介電層216可(例如)包括無機介電材料(例如,氧化矽、氮化矽等)。在替代性實施方案中,第二介電層216可包括有機介電材料。舉例來說,第二介電層216可包括雙馬來醯亞胺三嗪(BT)、酚系樹脂、聚醯亞胺(PI)、苯並環丁烯(BCB)、聚苯並惡唑(PBO)、環氧樹脂和其等效物和其化合物,但本發明的各方面並不限於此。第二介電層216可(例如)以多種方式中的任何者,例如,旋塗、噴塗、印刷、燒結、熱氧化、物理氣相沉積(PVD)、化學氣相沉積(CVD)、電漿氣相沉積、薄片層壓化學氣相沉積(CVD)等,但本發明的範圍不限於此。
雖然圖2A中說明兩組介電層和傳導跡線,但應理解,RD晶圓200A的RD結構210可包括任何數目個此類層和跡線。舉例來說,RD結構210可包括僅一個介電層和/或一組傳導跡線、三組介電層和/或傳導跡線等。
如同在方塊105處的邏輯晶圓製備,方塊110可包括在RD結構210的表面上形成互連結構(例如,傳導凸塊、傳導球、傳導柱、傳導性平台或襯墊等)。圖2A中展示此類互連結構217的實例,其中RD結構210包括互連結構217,其展示形成於RD結構210的前(或頂部)側上且通過第二介電層216中的傳導性導通孔電連接到相應第二傳導跡線215。此類互連結構217可(例如)用以將RD結構210耦合到各種電子元件(例如,主動半導體元件或晶粒、被動元件等)。注意,此類互連結構也可形成於RD結構210的背(或底部)側上。
互連結構217可(例如)包括多種傳導性材料中的任何者(例如,銅、鎳、金等中的任一者或組合)。互連結構217還可(例如)包括焊料。
一般來說,方塊110可包括製備再分佈結構晶圓(RD晶圓)。因此,本發明的範圍不應受到執行此製備的任一特定方式的特性限制。
實例方法100可在方塊120包括將一或多個半導體晶粒附著到(例如,RD晶圓的)RD結構。方塊120可包括以多種方式中的任何者將半導體晶粒附著到RD結構,本文中提供其非限制性實例。
半導體晶粒可包括多種類型的半導體晶粒中的任何者的特性。舉例來說,半導體晶粒可包括處理器晶粒、記憶體晶粒、專用積體電路晶粒、通用邏輯晶粒、主動半導體元件等。注意,在方塊120,也可附著被動組件。
方塊120可包括以多種方式中的任何者附著半導體晶粒(例如,如在方塊105所製備)。舉例來說,方塊120可包括利用大量回焊、熱壓接合(TCB)、傳電性環氧樹脂等附著半導體晶粒。
圖2B提供方塊120的各種方面的實例說明,例如,晶粒附著方面。舉例來說,第一晶粒225(例如,其可已從在方塊105製備的邏輯晶圓切塊)電且機械附著到再分佈結構210(例如,到其互連結構217)。類似地,第二晶粒226(例如,其可已從在方塊105製備的邏輯晶圓或其它邏輯晶圓切塊)電且機械附著到再分佈結構210(例如,到其互連結構217)。舉例來說,如在方塊105所解釋,邏輯晶圓(或其晶粒)可已被製備而具有形成於其上的各種互連結構(例如,傳導性襯墊、平台、凸塊、球、晶圓凸塊、傳導柱、銅柱、有焊料蓋的銅柱等)。此類結構大體在圖2B中展示為項219。方塊120可(例如)包括利用多種附著工藝(例如,大量回焊、熱壓接合(TCB)、傳導性環氧樹脂等)中的任何者將此類互連結構電且機械附著到再分佈結構210(例如,到其互連結構217)。
第一晶粒225和第二晶粒226可包括多種晶粒特性中的任何者。在實例情境中,第一晶粒225可包括處理器晶粒且第二晶粒226可包括記憶體晶粒。在另一實例情境中,第一晶粒225可包括處理器晶粒,且第二晶粒226可包括共處理器晶粒。在另一實例情境中,第一晶粒225可包括感測器晶粒,且第二晶粒226可包括感測器處理晶粒。雖然展示圖2B處的組合件200B具有兩個晶粒225、226,但可存在任何數目個晶粒。舉例來說,可存在僅一個晶粒、三個晶粒、四個晶粒或多於四個晶粒。
此外,雖然展示第一晶粒225和第二晶粒226相對於彼此側向附著到再分佈結構210,但其也可按垂直組合件配置。本文中展示和論述此類結構的各種非限制性實例(例如,晶粒疊置堆疊、晶粒附著到相對基板側等)。並且,雖然展示第一晶粒225和第二晶粒226具有大體類似尺寸,但此晶粒225、226可包括不同相應特性(例如,晶粒高度、佔據面積、連接間距等)。
說明第一晶粒225和第二晶粒226具有大體一致間距,但情況不必如此。舉例來說,第一晶粒225在第一晶粒佔據面積的緊鄰第二晶粒226的區域中的接點219中的多數或全部和/或第二晶粒226在第二晶粒佔據面積的緊鄰第一晶粒225的區域中的接點219中的多數可具有比其它接點219中的多數或全部實質上細小的間距。舉例來說,第一晶粒225的最靠近第二晶粒226(和/或第二晶粒226的最靠近第一晶粒225)的前5、10或n行接點219可具有30微米間距,而其它接點219可大體具有80微米和/或200微米間距。RD結構210可因此具有呈對應的間距的對應的接點結構和/或跡線。
如圖2B中所展示,舉例來說,作為半導體晶粒225B和226B,可存在附著到再分佈結構210的晶粒226和226的多個集合。
一般來說,方塊120包括將一或多個半導體晶粒附著到(例如,再分佈晶圓的)再分佈結構。因此,本發明的範圍不應受到任一特定晶粒的特性或任一特定多晶粒佈局的特性或附著此晶粒的任一特定方式的特性等限制。
實例方法100可在方塊125包括底部填充在方塊120附著到RD結構的半導體晶粒和/或其它元件。方塊125可包括以多種方式中的任何者執行此底部填充,本文中提供其非限制性實例。
舉例來說,在方塊120處的晶粒附著後,方塊125可包括利用毛細管底填充料底部填充半導體晶粒。舉例來說,底填充料可包括加強的聚合材料,其足夠黏性以按毛細管作用在附著的晶粒與RD晶圓之間流動。
並且,舉例來說,方塊125可包括在正於方塊120附著晶粒(例如,利用熱壓接合工藝)時,利用非傳導性膏(NCP)和/或非傳導性膜(NCF)或膠帶底部填充半導體晶粒。舉例來說,可在附著半導體晶粒(例如,作為預先塗覆的底填充料或PUF)前沉積(例如,印刷、噴霧等)此類底部填充材料。
如同實例方法100中說明的所有方塊,可在方法100流中的任一位置執行方塊125,只要晶粒與再分佈結構之間的空間可接取。
底部填充還可發生在實例方法100的不同方塊處。舉例來說,可將底部填充作為晶圓模製方塊135的部分執行(例如,利用模製的底填充料)。注意,完全不需要執行底部填充。
圖2B提供方塊125的各種方面的實例說明,例如,底部填充方面。底填充料228定位於第一半導體晶粒225與再分佈結構210之間和第二半導體晶粒226與再分佈結構210之間,例如,包圍接點219。
雖然底填充料228大體說明為平的,但底填充料228可上升且在半導體晶粒和/或其它元件的側上形成接縫。在實例情境中,晶粒側表面的至少四分之一或至少一半可由底部填充材料覆蓋。在另一實例情境中,全部側表面中的一或多個或所有可由底部填充材料覆蓋。並且,舉例來說,直接在半導體晶粒之間、半導體晶粒與其它元件之間和/或其它元件之間的空間中在相當大部分可填充有底部填充材料。舉例來說,側向鄰近半導體晶粒之間、晶粒與其它元件之間和/或其它元件之間的空間的至少一半或所有空間可填充有底部填充材料。在實例實施方案中,底填充料228可覆蓋RD晶圓的全部再分佈結構210。在此實例實施方案中,當稍後切塊(或部分切塊)RD晶圓時,此切塊還可切穿底填充料228。在另一實例實施方案中,側向鄰近半導體晶粒和/或其它元件之間的空間可通常無底填充料。
一般來說,方塊125可包括底部填充在方塊120附著到RD結構的半導體晶粒和/或其它元件。因此,本發明的範圍不應受到任一特定類型的底填充料的特性或執行此底部填充的任一特定方式限制。
實例方法100可在方塊130包括沿著RD晶圓的切塊線(或單切線)在RD晶圓中形成凹槽。此凹槽形成也可在本文中被稱作部分切塊。方塊130可包括以多種方式中的任何者形成此(類)凹槽,本文中提供非限制性實例。
凹槽可包括多種特性中的任何者。舉例來說,凹槽可具有大於RD晶圓(例如,如在方塊110形成)的再分佈結構的厚度的深度。在RD晶圓的再分佈結構包括一或多個介電層和一或多個傳導層的實例實施方案中,凹槽可具有大於此(類)介電層和傳導層的全部厚度的深度。舉例來說,在實例實施方案中,凹槽可具有比再分佈結構的厚度大至少一微米的深度。在另一實例實施方案中,凹槽可具有比再分佈結構的厚度大一到五微米的深度。在再一實例實施方案中,凹槽可具有多達十微米的總深度或比再分佈結構的厚度大多達十微米的深度。凹槽可(例如)具有小於RD晶圓的總厚度的5%(或10%)的深度。
凹槽可包括大於(或例如,不少於)稍後執行的切塊切割(例如,在方塊195)的寬度的寬度。舉例來說,凹槽可包括比此切塊切割寬度大從一微米到兩微米的寬度。並且,舉例來說,凹槽可包括比此切塊切割寬度大從三微米到五微米的寬度。另外,舉例來說,凹槽可包括比此切塊切割寬度大不大於十微米的總寬度。
凹槽可(例如)包括如所展示的平底部,但還可具有碗形或斜底表面。注意,雖然凹槽在本文中大體呈現具有垂直側,但此類側也可傾斜。舉例來說,凹槽可在頂部比在底部寬,或反之亦然。
方塊130可包括以多種方式中的任何者形成凹槽。舉例來說,方塊130可包括利用機械鋸、鐳射鋸、電漿鋸、定向能量鋸等中的任何一或多者在受控制的深度形成凹槽。在實例實施方案中,方塊130包括利用機械和鐳射切除兩者形成凹槽。
注意,方塊130可(例如)在於方塊120處的邏輯晶粒附著前執行。一般來說,可改變本文中論述的方塊的次序。
圖2C提供方塊130的各種方面的實例說明,例如,凹槽形成方面。圖2C展示實例有槽晶圓200C。凹槽219形成於第一晶粒集合(例如,對應於待形成的第一半導體封裝)的第二半導體晶粒226與第二晶粒集合(例如,對應於待形成的第二半導體封裝)的第一半導體晶粒225B之間的切塊線上。另外,第二凹槽219B形成於第一晶粒集合的第一半導體晶粒225與左邊的另一晶粒集合(未展示)的第二半導體晶粒之間。並且,第三凹槽219C形成於第二晶粒集合的第二半導體晶粒226B與右邊的又一晶粒集合(未展示)的第一半導體晶粒之間。以此方式,此類凹槽的矩陣(例如,包括許多行和列)可形成於正形成的封裝的晶圓或面板上。舉例來說,正形成的每一半導體封裝可在此時點由凹槽包圍。注意,如本文中所論述,凹槽還可在半導體封裝的內部內延伸。
一般來說,方塊130可包括沿著RD晶圓的切塊線(或單切線)在RD晶圓中形成凹槽。因此,本發明的範圍不應受到特定凹槽的特性或形成此類凹槽的任一特定方式限制。
實例方法100可在方塊135包括模製RD晶圓(例如,或RD結構)。方塊135可包括以多種方式中的任何者模製RD晶圓,本文中提供其非限制性實例。
舉例來說,方塊135可包括在RD晶圓的頂表面上、在於方塊120附著的晶粒和/或其它元件上、在於方塊110形成的互連結構(例如,傳導球、橢球、立柱或柱(例如,鍍覆的柱、導線或導線接合導線等)等)、在於方塊125形成的底填充料(如果被形成)上、在於方塊130形成的凹槽中等模製。
方塊135可(例如)包括利用壓縮模製(例如,利用液體、粉末和/或膜)或真空模製。並且,舉例來說,方塊135可包括利用轉移模製工藝(例如,晶圓級轉移模製工藝)、液體囊封劑模製、真空層壓、膏印刷、膜輔助模製等。
模具材料可(例如)包括多種特性中的任何者。舉例來說,模具材料(例如,環氧樹脂成型化合物(EMC)、環氧樹脂模製化合物、一般介電材料等)可包括相對高的模數,例如,以提供後續工藝中的晶圓支撐。並且,舉例來說,模具材料可包括相對低模數,以提供後續工藝中的晶圓靈活性。
如本文中所解釋,例如,關於方塊125,方塊135的模製工藝可提供晶粒與RD晶圓之間的底填充料。在此實例中,可存在模製的底部填充材料與囊封半導體晶粒的模具材料之間的材料均勻性。
圖2D提供方塊135的各種方面的實例說明,例如,模製方面。舉例來說,展示模製的組合件200D(其在本文中也可被稱作模製的RD晶圓)具有模具材料230,其覆蓋第一半導體晶粒225、第二半導體晶粒226、底填充料228和再分佈結構210的頂表面。模具材料230還填充在方塊130形成的凹槽219(和219B和219C)。雖然展示在本文中也可被稱作囊封物的模具材料230完全覆蓋第一半導體晶粒225和第二半導體晶粒226的側面和頂部,但情況未必如此。舉例來說,方塊230可包括利用膜輔助或晶粒密封模製技術保持晶粒頂部無模具材料。另外,方塊130(或任一其它方塊)可包括使模具材料230變薄(例如,研磨等)以提供所要的厚度和/或暴露晶粒。
模具材料230可通常(例如)直接接觸且覆蓋晶粒225和226的不由底填充料228(如果存在)覆蓋的部分。舉例來說,在晶粒225和226的側的至少第一部分由底填充料228覆蓋的情境中,模具材料230可直接接觸且覆蓋晶粒225和226的側的第二部分。模具材料230還可(例如)填充晶粒225與226之間的空間(例如,空間的已填充有底填充料228的至少一部分)。
一般來說,方塊135可包括模製RD晶圓。因此,本發明的範圍不應受到任一特定模具材料、結構和/或技術的特性限制。
實例方法100可在方塊140包括將模製的RD晶圓(例如,其頂部或模具側)附著到晶圓支撐結構。方塊140可包括以多種方式中的任何者將模製的RD晶圓附著到晶圓支撐結構,本文中提供其非限制性實例。
晶圓支撐結構可(例如)包括由矽、玻璃或各種其它材料(例如,介電材料)形成的晶圓或固定裝置。方塊140可(例如)包括利用黏合劑、真空固定裝置等將模製的RD晶圓附著到晶圓支撐結構。注意,在實例實施方案中,在晶圓支撐附著前,再分佈結構可形成於晶粒和/或模具材料的頂部側(或背面)上。
圖2E提供方塊140的各種方面的實例說明,例如,晶圓支撐附著方面。將晶圓支撐結構250附著到模具材料230的頂部側。晶圓支撐結構250可(例如)用黏合劑、利用真空力等附著。注意,在晶粒225和226的頂部從模具材料230暴露的組合件中,晶圓支撐結構250可直接耦合到模具材料230的頂部和晶粒225和226的頂部。
一般來說,方塊140可包括將模製的RD晶圓(例如,其頂部或模具側)附著到晶圓支撐結構。因此,本發明的範圍不應受到任一特定類型的晶圓支撐結構的特性或附著晶圓支撐結構的任一特定方式的特性限制。
實例方法100可在方塊145包括從RD晶圓去除支撐層。方塊145可包括以多種方式中的任何者去除支撐層,本文中提供其非限制性實例。
如本文中所論述,RD晶圓可包括其上形成和/或承載RD結構的支撐層。支撐層可(例如)包括半導體材料(例如,矽)。在支撐層包括矽晶圓層的實例情境中,方塊145可包括去除矽(例如,從RD晶圓去除所有矽、從RD晶圓去除幾乎所有矽(例如,至少90%或95%)等)。舉例來說,方塊145可包括機械研磨幾乎所有矽,接著為乾式或濕式化學蝕刻以去除其餘部分(或幾乎所有其餘部分)。在支撐層鬆散地附著到在其上形成(或承載)的RD結構的實例情境中,方塊145可包括拉動或剝落以將支撐層與RD結構分開。
圖2F提供方塊145的各種方面的實例說明,例如,支撐層去除方面。舉例來說,從RD結構210去除支撐層205(在圖2E中展示)。在所說明的實例中,RD結構210可(但未必)仍然包括如本文中所論述的基底介電層211(例如,氧化物、氮化物等)。
如圖2F中所展示,在實例實施方案中,作為支撐層205的去除的結果,保留在RD晶圓200A上的全部(例如,如在方塊110製備且如在圖2A中所展示)為RD結構210的被單切(或切塊)段。舉例來說,RD結構210可包括頂部側(例如,晶粒225和226耦合到所述頂部側)、底部側(例如,在支撐層205的去除後,所述底部側現在被暴露)和在頂部側與底部側之間延伸的多個側邊(例如,如果RD結構210呈矩形或正方形配置,那麼為此類側邊中的四個)。
一般來說,方塊145可包括從RD晶圓去除支撐層。因此,本發明的範圍不應受到任一特定類型的晶圓材料的特性或晶圓材料去除的任一特定方式的特性限制。
實例方法100可在方塊155包括形成且圖案化第一再分佈層(RDL)介電層以用於蝕刻RD結構的氧化物層。方塊155可包括以多種方式中的任何者形成且圖案化第一RDL介電層,本文中提供其非限制性實例。注意,RDL也可在本文中被稱作再分佈結構或信號分佈結構。
在本文中大體論述的實例中,RD晶圓的RD結構大體形成於氧化物層(或氮化物或其它電介質)上。為了實現到RD結構的金屬到金屬附著,氧化物層的覆蓋RD結構的跡線(或襯墊或平台)的部分可被去除,例如,通過蝕刻。注意,氧化物層未必需要被去除或完全去除,只要其具有可接受的傳導性。
在實例實施方案中,第一RDL介電層可包括形成於RD結構的基底介電層的第一側上的有機材料(例如,聚醯亞胺(PI)、苯並環丁烷(BCB)、聚苯並惡唑(PBO)、雙馬來醯亞胺三嗪(BT)、酚系樹脂、環氧樹脂、其等效物、其化合物等),其可包括氧化物或氮化物或其它介電材料。在各種實例實施方案中,然而,第一RDL介電層可包括無機材料(例如,Si3N4、SiO2、SiON等)。第一介電層可(例如)利用層壓膜、液體、糊狀物等形成。
由於在方塊145去除其上形成RD結構210的支撐層205,第一RDL介電層也可形成於模具材料230的部分上。舉例來說,在支撐層205的去除後,填充凹槽219(例如,其底部側或背面)的模具材料230暴露於RD結構210的段之間。模具材料230的底表面與RD結構210的底(或背)表面可共平面。
可(例如)使用多種工藝(例如,印刷、旋塗、噴塗、燒結、熱氧化、物理氣相沉積、電漿氣相沉積、化學氣相沉積(CVD)、其組合等)中的任一者形成第一RDL介電層,但本發明的範圍不限於此。
第一RDL介電層可(例如)用作用於蝕刻基底介電層(例如,氧化物或氮化物層(例如,在方塊160))的遮罩。並且,舉例來說,在蝕刻後,第一RDL介電層可保留,例如,以在於其上形成傳導性RDL跡線時利用。
在替代實例情境(未展示)中,可利用臨時遮罩層(例如,臨時光阻層)。舉例來說,在蝕刻後,臨時遮罩層可被去除和由永久RDL介電層代替。
圖2G提供方塊155的各種方面的實例說明。舉例來說,第一RDL介電層271形成且圖案化於基底介電層211上。圖案化的第一RDL介電層271可(例如)包括通過第一RDL介電層271的導通孔272,例如,通過所述導通孔可蝕刻基底介電層211(例如,在方塊160)且在所述導通孔中可形成第一跡線(或其部分)(例如,在方塊165)。
一般來說,方塊155可包括形成和圖案化第一介電層(例如,第一RDL介電層),例如,在基底介電層上。因此,本發明的範圍不應受到特定介電層的特性或形成介電層的特定方式的特性限制。
實例方法100可在方塊160包括從RD結構蝕刻基底介電層(例如,氧化物層、氮化物層等),例如,其未遮蔽的部分。方塊160可包括以多種方式中的任何者執行蝕刻,本文中提供其非限制性實例。
舉例來說,方塊160可包括執行乾式蝕刻工藝(或替代地,濕式蝕刻工藝)以蝕刻穿過由通過第一介電層的導通孔暴露的基底介電層(例如,氧化物、氮化物等)的部分,第一介電層充當用於蝕刻的遮罩。
圖2G提供方塊160的各種方面的實例說明,例如,介電蝕刻方面。舉例來說,從圖2G去除基底介電層211的在圖2F中展示在第一傳導跡線212下方的部分。舉例來說,這實現第一傳導跡線212與在方塊165形成的第一RDL跡線之間的金屬到金屬接觸。
一般來說,方塊160可(例如)包括蝕刻基底介電層。因此,本發明的範圍不應受到執行此蝕刻的任一特定方式限制。
實例方法100可在方塊165包括形成第一再分佈層(RDL)跡線。方塊165可包括以多種方式中的任何者形成第一RDL跡線,本文中提供其非限制性實例。
如本文中所論述,第一RDL介電層(例如,在方塊155處形成)可用於蝕刻(例如,在方塊160)且接著保留用於第一RDL跡線的形成。替代地,可在蝕刻工藝後形成且圖案化第一RDL介電層。在本文中論述的又一替代性實施方案中,可跳過針對基底介電層的蝕刻工藝(例如,在基底介電層(例如,薄氧化物或氮化物層)不存在或傳導性足夠充分充當金屬跡線之間的傳導路徑的實施方案中)。
方塊165可包括形成附著到RD結構的通過圖案化的第一RDL介電層暴露的第一傳導跡線的第一RDL跡線。第一RDL跡線也可形成於第一RDL介電層上。方塊165可包括以多種方式(例如,電解鍍覆、無電鍍覆、化學氣相沉積(CVD)、濺鍍或物理氣相沉積(PVD)、電漿氣相沉積、印刷等)中的任何者形成第一RDL跡線,但本發明的範圍不受形成此類跡線的任一特定方式的特性限制。
第一RDL跡線可包括多種材料(例如,銅、金、鎳等)中的任一者。第一RDL跡線可(例如)包括多種尺寸特性中的任一者。舉例來說,第一RDL跡線的典型間距可(例如)為5微米。在實例實施方案中,第一RDL跡線可(例如)按大致或至少比形成RD晶圓的RD結構的各種跡線時的間距大一個數量級的中心到中心間距形成(例如,按次微米間距、大致0.5微米間距等)。
圖2G和圖2H提供方塊165的各種方面的實例說明,例如,RDL跡線形成方面。舉例來說,第一RDL跡線的第一部分281可形成於第一RDL介電層271(和基底介電層211)的導通孔272中,且接觸由此類導通孔272暴露的RD結構210的第一傳導跡線212。並且,舉例來說,第一RDL跡線的第二部分282可形成於第一RDL介電層271上。
一般來說,方塊165可包括形成第一再分佈層(RDL)跡線。因此,本發明的範圍不應受到任何特定RDL跡線的特性或形成此類RDL跡線的任一特定方式的特性限制。
實例方法100可在方塊170包括在第一RDL跡線(例如,在方塊165形成)和第一RDL介電層(例如,在方塊155形成)上形成且圖案化第二RDL介電層。方塊170可包括以多種方式中的任何者形成且圖案化第二介電層,本文中提供其非限制性實例。
舉例來說,方塊170可與方塊155共用任何或所有特性。舉例來說,可利用與在方塊155形成的第一RDL介電層相同的材料形成第二RDL介電層。
第二RDL介電層可(例如)包括聚醯亞胺或聚苯並惡唑(PBO)材料。第二RDL介電層可(例如)通常包括有機材料。然而,在各種實例實施方案中,第一RDL介電層可包括無機材料。
圖2H提供方塊170的各種方面的實例說明。舉例來說,第二RDL介電層283形成於第一RDL跡線281和282上和第一RDL介電層271上。如圖2H中所展示,導通孔284形成於第二RDL層283中,可通過所述導通孔進行與由此類導通孔284暴露的第一RDL跡線282的傳導性接觸。
一般來說,方塊170可包括形成和/或圖案化第二RDL介電層。因此,本發明的範圍不應受到任一特定介電層的特性或形成介電層的任一特定方式的特性限制。
實例方法200可在方塊175包括形成第二再分佈層(RDL)跡線。方塊175可包括以多種方式中的任何者形成第二RDL跡線,本文中提供其非限制性實例。方塊175可(例如)與方塊165共用任何或所有特性。
方塊175可包括形成附著到通過圖案化的第二RDL介電層(例如,在方塊170形成)中的導通孔暴露的第一RDL跡線的第二RDL跡線(例如,在方塊165形成)。第二RDL跡線也可形成於第二RDL介電層上。方塊175可包括以多種方式中的任何者(例如,通過鍍覆)形成第二RDL跡線,但本發明的範圍不受到任一特定方式的特性限制。
如同第一RDL跡線,第二RDL跡線可包括多種材料(例如,銅等)中的任何者。另外,第二RDL跡線可(例如)包括多種尺寸特性中的任一者。
圖2H和圖2I提供方塊175的各種方面的實例說明。舉例來說,第二RDL跡線291可形成於第二RDL介電層283中的導通孔284中以接觸通過此類導通孔284暴露的第一RDL跡線281。另外,第二RDL跡線291可形成於第二RDL介電層283上。
一般來說,方塊175可包括形成第一再分佈層(RDL)跡線。因此,本發明的範圍不應受到任何特定RDL跡線的特性或形成此類RDL跡線的任一特定方式的特性限制。
在方塊155到180形成的信號分佈結構可(例如)為無核心信號分佈結構(例如,無基板核心)。
實例方法100可在方塊180包括在第二RDL跡線(例如,在方塊175形成)和第二RDL介電層(例如,在方塊170形成)上形成且圖案化第三RDL介電層。方塊180可包括以多種方式中的任何者形成且圖案化第三介電層,本文中提供其非限制性實例。
舉例來說,方塊180可與方塊170和155共用任何或所有特性。可(例如)利用與在方塊155(和/或在方塊160的蝕刻且剝落臨時遮罩層後)形成的第一RDL介電層相同的材料和/或利用與在方塊170形成的第二RDL介電層相同的材料形成第三RDL介電層。。
第三RDL介電層可(例如)包括聚醯亞胺或聚苯並惡唑(PBO)材料。第三RDL介電層可(例如)通常包括有機材料。然而,在各種實例實施方案中,第三RDL介電層可包括無機材料。
圖2I提供方塊180的各種方面的實例說明。舉例來說,第三RDL層285可形成於第二RDL跡線291上和第二RDL層283上。如圖2I中所展示,導通孔形成於第三RDL層285中,可通過所述導通孔進行與由此類導通孔暴露的第二RDL跡線291的傳導性接觸。
一般來說,方塊180可包括形成和/或圖案化第三RDL介電層。因此,本發明的範圍不應受到任一特定介電層的特性或形成介電層的任一特定方式的特性限制。
實例方法100可在方塊185包括在第二RDL跡線上和/或在第三RDL介電層上形成互連結構。方塊185可包括以多種方式中的任何者形成互連結構,本文中提供其非限制性實例。
方塊185可(例如)包括在通過第三介電層中的導通孔暴露的第二RDL跡線的部分上形成凸塊下金屬。方塊185可接著(例如)包括將傳導凸塊或球附著到凸塊下金屬。也可利用其它互連結構,其實例在本文中提供(例如,傳導支柱或柱、焊料球、焊料凸塊等)。
圖2I提供方塊185的各種方面的實例說明,例如,互連結構形成方面。舉例來說,互連結構292通過在第三RDL介電層285中形成的導通孔附著到第二RDL跡線291。注意,雖然將互連結構292說明為小於互連結構217/219,但本發明不如此受限制。舉例來說,互連結構292可為與互連結構217/219相同的大小或大於互連結構217/219。另外,互連結構292可為與互連結構217/219相同類型的互連結構,或可為不同類型。
雖然在方塊155到185形成的再分佈層(其還可被稱作前側再分佈層(RDL))在圖2中大體按扇出組合件(例如,在晶粒225和226的佔據面積外延伸)說明,但其也可按扇入組合件形成,例如,其中互連結構292並不大體在晶粒225和226的佔據面積外延伸。本文中提供此組合件的非限制性實例。
一般來說,方塊185可包括形成互連結構,例如,在第二RDL跡線上和/或在第三RDL介電層上。因此,本發明的範圍不應受到任一特定互連結構的特性或形成互連結構的任一特定方式限制。
實例方法100可在方塊190包括去接合(或去附著)在方塊140附著的晶圓支撐。方塊190可包括以多種方式中的任何者執行此去接合,本文中提供其非限制性方面。
舉例來說,在黏合性地附著晶圓支撐的實例情境中,可釋放黏合劑(例如,使用熱和/或力)。並且,舉例來說,可利用化學脫模劑。在利用真空力附著晶圓支撐的另一實例情境中,可釋放真空力。注意,在涉及黏合劑或其它物質以輔助晶圓支撐附著的情境中,方塊190可包括在去接合後清潔來自電組合件和/或來自晶圓支撐的殘餘物。
圖2I和圖2J提供方塊190的各種方面的實例說明。舉例來說,在圖2J中去除圖2I中說明的晶圓支撐250。
一般來說,方塊190可包括去接合晶圓支撐。因此,本發明的範圍不應受到任一特定類型的晶圓支撐的特性或去接合晶圓支撐的任一特定方式限制。
實例方法100可在方塊195包括將晶圓(或面板)切塊。方塊195可包括以多種方式中的任何者將晶圓(或面板)切塊,本文中提供其非限制性實例。
本文中的論述已大體聚焦於處理此類封裝的晶圓或面板的一或兩個封裝。對一或兩個封裝的此聚焦只是為了說明清晰。應理解,可對全部晶圓或面板執行本文中論述的過程步驟中的任一者或全部。舉例來說,可對單一晶圓或面板將圖2A到圖2O和本文中的其它圖處提供的說明中的每一個重複數十或數百次。舉例來說,直到切塊,在說明的組合件中的一個與晶圓或面板的相鄰組合件之間才可存在分離。
方塊195可(例如)包括將來自晶圓或面板的個別封裝切塊(例如,機械沖切、機械鋸切、鐳射切割、軟波束切割、電漿切割等)。此切塊的結果可(例如)為圖2K中展示的封裝。舉例來說,切塊可形成包括封裝的多個元件的共平面側表面的封裝的側表面。舉例來說,模具材料230、在方塊155到180形成的再分佈結構的介電層271、283和285等中的任一者或全部的側表面可共平面。舉例來說,此類層和結構(其也可一起被稱作再分佈結構或信號分佈結構)可包括頂部側(例如,面向RD結構210)、底部側(例如,互連結構292所位於之處)和在頂部側與底部側之間延伸的多個側邊。舉例來說,可在切塊(或單切)後暴露側邊。
可(例如)沿著在方塊130形成凹槽所沿著的相同道中的一些或全部執行方塊195。如本文中所論述,在方塊135的模製期間,模具材料流動至在方塊130形成的凹槽內。在實例實施方案中,穿過凹槽中的此模具材料發生切塊切割。
圖2K和圖2L提供方塊195的各種方面的實例說明。展示實例切塊切割295穿過模具材料230和在方塊155到180形成的再分佈結構的介電層271、283和285。切口195的實例切塊切割寬度WD小於凹槽219的凹槽寬度WG。因此,在凹槽219的每一側,存在具有殘餘物寬度WR的模具材料的殘餘物299。殘餘物299(或299C)側向鄰近在於方塊130和145執行的凹槽形成和RD晶圓支撐層去除後保留的RD結構210(且覆蓋所述RD結構的側邊)。
在實例實施方案中,凹槽寬度WG可比切塊切割寬度WD大一到兩個微米,或小兩個微米。殘餘物寬度WR可接著(例如)為從半微米到一微米。在另一實例實施方案中,凹槽寬度WG可比切塊切割寬度WD大三到五微米。殘餘物寬度WR可接著(例如)在1.5到2.5微米範圍中。舉例來說,切塊切割寬度WD可為30到40微米,且凹槽寬度WG可為50微米。在再一實例實施方案中,凹槽寬度WG可比切塊切割寬度WD大至多十微米。殘餘物寬度WR可接著(例如)為至多五微米。
如本文中所提到,方塊195可沿著在方塊130形成凹槽的所有相同線路切塊(或單切),但情況不必如此。實例說明提供於圖2M、圖2N和圖2O處。
圖2M展示在中心凹槽219不執行切塊(或單切)而在左凹槽219B執行小方塊切割295B且在右凹槽291C執行小方塊切割295C的實例實施方案的橫截面圖。雖未展示,但在左RD結構(功能晶粒225和226耦合到所述結構)與右RD結構(功能晶粒227和228耦合到所述結構)之間可存在電互連。此類互連(如果存在)可(例如)由在方塊155到175形成的RDL跡線提供。
圖2N展示在中心凹槽219不執行切塊(或單切)而在左凹槽219B執行小方塊切割295B且在右凹槽291C執行小方塊切割295C的實例實施方案的橫截面圖。展示功能晶粒226跨越中心凹槽219且直接電連接到左RD結構(功能晶粒225耦合到所述結構)和右RD結構(功能晶粒227耦合到所述結構)。雖未展示,但在左RD結構(功能晶粒225和226耦合到所述結構)與右RD結構(功能晶粒226和227耦合到所述結構)之間可存在電互連。此類互連(如果存在)可(例如)由在方塊155到175形成的RDL跡線提供。
注意,凹槽寬度在所有凹槽(例如,將經受完整的切塊的凹槽和將不經受切塊的凹槽)當中可一致,但此並非必要。舉例來說,針對將不經受在方塊195處的切塊的凹槽的凹槽寬度WG可比針對將執行切塊的凹槽的凹槽寬度WG窄(或反之亦然)。
圖2O展示在凹槽219A、219B、219C和219D不執行切塊(或單切)而在對應的凹槽執行小方塊切割295A、295B、295C和295D的實例實施方案的俯視圖。如所展示,功能晶粒(例如,晶粒D4、晶粒D5、晶粒D6和晶粒D7)可(例如)專用於單一RS結構(例如,分別RS3、RS4、RS6和RS7)。並且,舉例來說,功能晶粒(例如,晶粒D3和D8)可耦合到兩個截然不同的RS結構(例如,晶粒D3耦合到RS1和RS2兩者,且晶粒D8耦合到RS8和RS9兩者)。功能晶粒可(例如)耦合到任何數目個RS結構。舉例來說,功能晶粒D2耦合到RS2、RS3、RS5和RS6中的每一個,且功能晶粒D1耦合到RS1、RS2、RS4、RS5、RS7和RS8中的每一個。
一般來說,方塊195可包括將晶圓(或面板)切塊。因此,本發明的範圍不應受到將晶圓(或面板)切塊的任一特定方式的特性限制。
在關於圖1和圖2A到圖2O呈現的實例方法和結構中,在方塊145的從RD晶圓的支撐層去除前執行在方塊135的晶圓模製。在其它實例方法和結構中,可在支撐層的去除後執行晶圓模製。此類實例方法和結構呈現於圖3和圖4A到圖4J處。
圖3展示根據本發明的各種方面的用於製造半導體裝置的方法300。實例方法300可(例如)與本文中(例如)關於圖1、圖2A到圖2O、圖4A到圖4J、圖5、圖6A到圖6H、圖7等論述的其它實例方法共用任何或所有特性。
圖4A到圖4J為說明圖3的實例方法300的各種方面的橫截面圖,展示根據此實例方法300製造的半導體裝置。在圖4A到圖4J中展示的方法和結構可(例如)與在圖1、圖2A到圖2O、圖3等中展示的類似方法和結構共用任何或所有特性。
現將一起論述圖3和圖4A到圖4J。應注意,在不脫離本發明的範圍的情況下,方法300的實例方塊的次序可變化。還應注意,在不脫離本發明的範圍的情況下,可省略方法300的實例方塊中的任一個和/或可插入其它方塊。
實例方法300可在方塊305包括製備邏輯晶圓(或多個邏輯晶圓,例如,具有相同或不同相應類型的晶粒)供處理(例如,用於封裝)。方塊305可與圖1的實例方法100的方塊105共用任何或所有特性。
實例方法300可在方塊310包括製備載體、基板或晶圓。方塊310可與圖1的實例方法100的方塊110共用任何或所有特性。
圖4A提供方塊310的各種方面的實例說明。參看圖4A,RD晶圓400A可(例如)包括支撐層405(例如,矽或其它半導體層、玻璃層等)。再分佈(RD)結構410可形成於支撐層405上。RD結構410可(例如)包括基底介電層411、第一介電層413、第一傳導跡線412、第二介電層416和第二傳導跡線415。如本文中所論述,各種互連結構也可形成於RD結構410的頂部或底部側上。RD結構410也可在此處被稱作信號分佈結構。
基底介電層411可(例如)在支撐層405上。基底介電層411可(例如)包括氧化物層、氮化物層等。基底介電層411可(例如)規範地形成和/或可為原生。基底介電層411可被稱作鈍化層。基底介電層411可為或包括(例如)使用低壓化學氣相沉積(LPCVD)工藝形成的二氧化矽層。
RD晶圓400A還可(例如)包括第一傳導跡線412和第一介電層413。第一傳導跡線412可(例如)包括沉積的傳導金屬(例如,銅、鋁、鎢等)。第一傳導跡線412可(例如)通過濺鍍、鍍覆(例如,電鍍或無電鍍覆)等形成。第一傳導跡線412可(例如)按次微米或次兩微米間距(或中心到中心間隔)形成。第一介電層413可(例如)包括無機介電材料(例如,氧化矽、氮化矽等)。注意,在各種實施方案中,第一介電層413可在第一傳導跡線412前形成,例如,形成有接著填充有第一傳導跡線412或其一部分的孔隙。在(例如)包括銅傳導跡線的實例實施方案中,可利用雙重鑲嵌工藝沉積跡線。
在替代性實施方案中,第一介電層413可包括有機介電材料。舉例來說,第一介電層413可包括雙馬來醯亞胺三嗪(BT)、酚系樹脂、聚醯亞胺(PI)、苯並環丁烯(BCB)、聚苯並惡唑(PBO)、環氧樹脂和其等效物和其化合物,但本發明的方面並不限於此。有機介電材料可以多種方式中的任何者形成,例如,旋塗、噴塗、印刷、燒結、熱氧化、物理氣相沉積(PVD)、化學氣相沉積(CVD)、電漿氣相沉積、薄片層壓化學氣相沉積(CVD)等。在此替代性實施方案中,第一傳導跡線412可(例如)處於2到5微米間距(或中心到中心間隔)。
RD晶圓400A還可(例如)包括第二傳導跡線415和第二介電層416。第二傳導跡線415可(例如)包括沉積的傳導金屬(例如,銅等)。第二傳導跡線415可(例如)通過相應的傳導性導通孔414(例如,在第一介電層413中)連接到相應第一傳導跡線412。第二介電層416可(例如)包括無機介電材料(例如,氧化矽、氮化矽等)。在替代性實施方案中,第二介電層416可包括有機介電材料。舉例來說,第二介電層416可包括雙馬來醯亞胺三嗪(BT)、酚系樹脂、聚醯亞胺(PI)、苯並環丁烯(BCB)、聚苯並惡唑(PBO)、環氧樹脂和其等效物和其化合物,但本發明的方面並不限於此。第二介電層416可(例如)使用CVD工藝形成,但本發明的範圍不限於此。
雖然圖4A中說明兩組介電層和傳導跡線,但應理解,RD晶圓400A的RD結構410可包括任何數目個此類層和跡線。舉例來說,RD結構410可包括僅一個介電層和/或一組傳導跡線、三組介電層和/或傳導跡線等。
如同在方塊305處的邏輯晶圓製備,方塊310可包括在RD結構410的表面上形成互連結構(例如,傳導凸塊、傳導球、傳導柱、傳導性平台或襯墊等)。關於圖2A等論述此類互連結構217的實例。如所論述,此類互連結構可形成於RD結構410的任一側或兩側上。此類互連結構417可(例如)用以將RD結構410耦合到各種電子元件(例如,主動半導體元件或晶粒、被動元件等)。
一般來說,方塊310可包括製備再分佈結構晶圓(RD晶圓)。因此,本發明的範圍不應受到執行此製備的任一特定方式的特性限制。
實例方法300可在方塊315包括沿著RD晶圓的切塊線(或單切線)在RD晶圓中形成凹槽。此凹槽形成也可在本文中被稱作部分切塊。方塊315可與圖1的實例方法100的方塊130共用任何或所有特性。
圖4B提供方塊315的各種方面的實例說明,例如,凹槽形成方面。圖4B展示實例有槽晶圓400B。凹槽419形成於用於第一半導體晶粒或其集合(例如,對應於待形成的第一半導體封裝)的第一附著區域475與用於第二半導體晶粒或其集合(例如,對應於待形成的第二半導體封裝)的第二附著區域476之間的切塊線上。另外,第二凹槽419B形成於第一附著區域475與左邊的另一附著區域(未展示)之間。並且,第三凹槽419C形成於第二附著區域476與右邊的另一附著區域(未展示)之間。以此方式,此類凹槽的矩陣(例如,包括許多行和列)可形成於正形成的封裝的晶圓或面板上。舉例來說,正形成的每一半導體封裝可在此時點由凹槽包圍。注意,如本文中所論述,也可形成在封裝的佔據面積內延伸的此類凹槽。
一般來說,方塊315可包括沿著RD晶圓的切塊線(或單切線)在RD晶圓中形成凹槽。因此,本發明的範圍不應受到特定凹槽的特性或形成此凹槽的任一特定方式限制。
實例方法300可在方塊320包括形成第一再分佈層(RDL)介電層。方塊320可(例如)與圖1的實例方法100的方塊155共用任何或所有特性。方塊320可包括以多種方式中的任何者形成第一RDL介電層,本文中提供其非限制性實例。
在實例實施方案中,第一RDL介電層可包括形成於RD結構的基底介電層的第一側上的有機材料(例如,聚醯亞胺(PI)、苯並環丁烷(BCB)、聚苯並惡唑(PBO)、雙馬來醯亞胺三嗪(BT)、酚系樹脂、環氧樹脂、其等效物、其化合物等),其可包括氧化物或氮化物或其它介電材料。在各種實例實施方案中,然而,第一RDL介電層可包括無機材料(例如,Si3N4、SiO2、SiON等)。第一RDL介電層可(例如)利用層壓膜、液體、糊狀物等形成。
可使用多種介電沉積工藝中的任何一或多個形成第一RDL介電層,例如,旋塗、噴塗、印刷、燒結、熱氧化、物理氣相沉積(PVD)、電漿氣相沉積、化學氣相沉積(CVD)、薄片層壓、其組合等。
圖4C提供方塊320的各種方面的實例說明。舉例來說,第一RDL介電層471形成於RD結構410上且還填充在方塊315形成的凹槽419、419B和419C。展示第一RDL介電層471完全填充凹槽419、419B和419C(例如,具有完全平坦的頂表面),但完全填充並非必要。舉例來說,在另一實例實施方案中,在凹槽419、419B和419C上的第一RDL介電層471的頂表面中可存在突降。如其它說明中所展示,可穿過第一RDL介電層471形成導通孔以提供對RD結構410的傳導性特徵的傳導性接取。
一般來說,方塊320可包括形成第一介電層(例如,第一RDL介電層),例如,在RD結構410上和在凹槽419、419B和419C中。因此,本發明的範圍不應受到特定介電層的特性或形成介電層的特定方式的特性限制。
實例方法300可在方塊325包括在RD結構上形成再分佈層(或再分佈結構)的其餘部分。方塊325可(例如)與圖1的實例方法100的方塊155到185共用任何或所有特性。圖4D提供方塊325的各種方面的實例說明。注意,也可稍後形成互連結構(例如,傳導球或凸塊等),例如,在切塊前。
實例方法300可在方塊330包括將具有形成於其上的RDL結構的RD晶圓(或面板)附著到晶圓支撐結構。方塊330可(例如)與圖1的實例方法100的方塊140共用任何或所有特性。方塊330可包括以多種方式中的任何者將模製的RD晶圓附著到晶圓支撐結構,本文中提供其非限制性實例。
晶圓支撐結構可(例如)包括由矽、玻璃或各種其它材料(例如,介電材料)形成的晶圓或固定裝置。方塊330可(例如)包括利用黏合劑、真空固定裝置等將晶圓(或面板)附著到晶圓支撐結構。
圖4E提供方塊330的各種方面的實例說明,例如,晶圓支撐附著方面。晶圓支撐結構450附著到在方塊320到325形成的RDL結構的被暴露側。舉例來說,晶圓支撐結構450可附著到互連結構和RDL結構的最外介電層。晶圓支撐結構450可(例如)用黏合劑附著。在互連結構(例如,封裝互連結構)已經形成的實例實施方案(如圖4E中所展示)中,可將此類互連結構嵌入於晶圓支撐結構450中和/或用以附著晶圓支撐結構450的黏合材料中。
注意,為了說明的原因,相對於圖4D,圖4E中的圖式已旋轉180度。還要注意,貫穿本發明的圖式定向是為了說明原因而定向,且並不意味著暗示製造期間的實際組合件定向,針對圖式,實際組合件定向可或可不不同。
一般來說,方塊330可包括將晶圓(例如,RDL的被暴露側)附著到晶圓支撐結構。因此,本發明的範圍不應受到任一特定類型的晶圓支撐結構的特性或附著晶圓支撐結構的任一特定方式的特性限制。
實例方法300可在方塊335包括從RD晶圓去除支撐層(或其一部分)。方塊335可(例如)與圖1的實例方法100的方塊145共用任何或所有特性。方塊335可包括以多種方式中的任何者去除支撐層,本文中提供其非限制性實例。
如本文中所論述,RD晶圓可包括其上形成和/或承載RD結構的支撐層。支撐層可(例如)包括半導體材料(例如,矽)。在支撐層包括矽晶圓層的實例情境中,方塊335可包括去除矽(例如,從RD晶圓去除所有矽、從RD晶圓去除幾乎所有矽(例如,至少90%或95%)等)。舉例來說,方塊335可包括機械研磨幾乎所有矽,接著為乾式或濕式化學蝕刻以去除其餘部分(或幾乎所有其餘部分)。在支撐層鬆散地附著到在其上形成(或承載)的RD結構的實例情境中,方塊335可包括拉動或剝落以將支撐層與RD結構分開。
圖4F提供方塊335的各種方面的實例說明,例如,支撐層去除方面。舉例來說,從RD結構410去除支撐層405(圖4E中展示)。在所說明的實例中,RD結構410可(但不必)仍然包括如本文中所論述的基底介電層411(例如,氧化物、氮化物等)。舉例來說,當去除支撐層405時,可去除(例如,蝕刻等)基底介電層411。替代地,可在晶粒附著前去除基底介電層411,或所述基底介電層可具有在其中形成用於晶粒附著到RD結構410的導通孔。本文中提供此導通孔形成的實例,例如,關於圖1的實例方法100的方塊155和160。
如圖4F中所展示,在實例實施方案中,作為支撐層405的去除的結果,保留在RD晶圓400A上的全部(例如,如在方塊410製備且如在圖4A中所展示)為RD結構410的被單切(或切塊)段。舉例來說,對應於第一附著區域475的RD結構410與對應於第二附著區域476的RD結構410不再由來自原始RD晶圓400A的材料(例如,塊狀矽等)相互連接。
一般來說,方塊335可包括從RD晶圓去除支撐層。因此,本發明的範圍不應受到任一特定類型的晶圓材料的特性或晶圓材料去除的任一特定方式的特性限制。
實例方法300可在方塊340包括將一或多個半導體晶粒附著到(例如,RD晶圓的)RD結構。方塊340可(例如)與圖1的實例方法100的方塊120共用任何或所有特性。
圖4G提供方塊340的各種方面的實例說明,例如,晶粒附著方面。舉例來說,第一晶粒425(例如,其可已從在方塊405製備的邏輯晶圓切塊)電且機械附著到再分佈結構410。類似地,第二晶粒426(例如,其可已從在方塊405製備的邏輯晶圓或其它邏輯晶圓切塊)電且機械附著到再分佈結構410。舉例來說,如在方塊305(或105)所解釋,邏輯晶圓(或其晶粒)可已被製備而具有形成於其上的各種互連結構(例如,傳導性襯墊、平台、凸塊、球、晶圓凸塊、傳導柱、銅柱、有焊料蓋的銅柱等)。此類結構大體在圖4G中展示為項419。
方塊340可(例如)包括利用多種附著工藝(例如,大量回焊、熱壓接合(TCB)、傳導性環氧樹脂等)中的任何者將此類互連結構電且機械附著到再分佈結構410。第一晶粒425和第二晶粒426可(例如)共用圖2A到圖2O的實例第一晶粒225和第二晶粒226的任何或所有特性。
實例方法300可在方塊345包括底部填充在方塊340附著到RD結構的半導體晶粒和/或其它元件。方塊345可(例如)與圖1的實例方法100的方塊125共用任何或所有特性。方塊345可包括以多種方式中的任何者執行此底部填充,本文中提供其非限制性實例。
舉例來說,在方塊340處的晶粒附著後,方塊345可包括利用毛細管底填充料底部填充半導體晶粒。舉例來說,底填充料可包括加強的聚合材料,其足夠黏性以按毛細管作用在附著的晶粒與RD晶圓之間流動。
並且,舉例來說,方塊345可包括在正於方塊340附著晶粒(例如,利用熱壓接合工藝)時,利用非傳導性膏(NCP)和/或非傳導性膜(NCF)或膠帶底部填充半導體晶粒。舉例來說,可在附著半導體晶粒(例如,作為預先塗覆的底填充料或PUF)前沉積(例如,印刷、噴霧等)此類底部填充材料。
如同實例方法300中說明的所有方塊,可在方法300流中的任一位置執行方塊345,只要晶粒與再分佈結構之間的空間可接取。
底部填充還可發生在實例方法300的不同方塊。舉例來說,可將底部填充作為晶圓模製方塊350(例如,利用模製的底填充料)的部分執行。注意,完全不需要執行底部填充。
實例方法300可在方塊350包括模製組合件(例如,或晶圓組合件)。方塊350可(例如)與圖1的實例方法100的方塊135共用任何或所有特性。方塊350可包括以多種方式中的任何者模製RD組合件,本文中提供其非限制性實例。
舉例來說,方塊350可包括在RD結構的頂表面上、在於方塊340附著的晶粒和/或其它元件上、在互連結構(例如,傳導球、橢球、立柱或柱(例如,鍍覆的柱、導線或導線接合導線等)等)、在於方塊345形成的底填充料(如果被形成)上、在於方塊320形成的第一RDL介電層(例如,如在於方塊315形成的凹槽中形成)上等模製。
方塊350可(例如)包括利用壓縮模製(例如,利用液體、粉末和/或膜)或真空模製。並且,舉例來說,方塊350可包括利用轉移模製工藝(例如,晶圓級轉移模製工藝)、液體囊封劑模製、真空層壓、膏印刷、膜輔助模製等。
模具材料可(例如)包括多種特性中的任何者。舉例來說,模具材料(例如,環氧樹脂成型化合物(EMC)、環氧樹脂模製化合物、一般介電材料等)可包括相對高的模數,例如,以提供後續工藝中的晶圓支撐。並且,舉例來說,模具材料可包括相對低模數,以提供後續工藝中的晶圓靈活性。
如本文中所解釋,例如,關於方塊345,方塊350的模製工藝可提供晶粒與RD結構之間的底填充料。在此實例中,可存在模製的底部填充材料與囊封半導體晶粒的模具材料之間的材料均勻性。
圖4H提供方塊350的各種方面的實例說明,例如,模製方面。舉例來說,展示模製的組合件400H具有模具材料430,其覆蓋第一半導體晶粒425、第二半導體晶粒426、底填充料428、再分佈結構410的頂表面和在凹槽419、419B和419C中形成的第一RDL介電層471。雖然展示在本文中也可被稱作囊封物的模具材料430完全覆蓋第一半導體晶粒425和第二半導體晶粒426的側和頂部,但情況不必如此。舉例來說,方塊350可包括利用膜輔助或晶粒密封模製技術保持晶粒頂部無模具材料。另外,方塊350(或任一其它方塊)可包括使模具材料430變薄(例如,研磨等)以提供所要的厚度和/或暴露晶粒。
模具材料430可通常(例如)直接接觸且覆蓋晶粒425和426的不由底填充料428覆蓋的部分。舉例來說,在晶粒425和426的側的至少第一部分由底填充料428覆蓋的情境中,模具材料430可直接接觸且覆蓋晶粒425和426的側的第二部分。模具材料430還可(例如)填充晶粒425與426之間的空間(例如,空間的已用底填充料428填充的至少一部分)。
一般來說,方塊350可包括模製晶圓(或晶圓組合件)。因此,本發明的範圍不應受到任一特定模具材料、結構和/或技術的特性限制。
實例方法300可在方塊390包括去接合(或去附著)在方塊330附著的晶圓支撐。方塊390可(例如)與圖1的實例方法100的方塊190共用任何或所有方面。方塊390可包括以多種方式中的任何者執行此去接合,本文中提供其非限制性方面。
舉例來說,在黏合性地附著晶圓支撐的實例情境中,可釋放黏合劑(例如,使用熱和/或力)。並且,舉例來說,可利用化學脫模劑。在利用真空力附著晶圓支撐的另一實例情境中,可釋放真空力。注意,在涉及黏合劑或其它物質以輔助晶圓支撐附著的情境中,方塊390可包括在去接合後清潔來自電組合件和/或來自晶圓支撐的殘餘物。
圖4H和圖4I提供方塊390的各種方面的實例說明。舉例來說,在圖4I中去除圖4H中說明的晶圓支撐450。
一般來說,方塊390可包括去接合晶圓支撐。因此,本發明的範圍不應受到任一特定類型的晶圓支撐的特性或去接合晶圓支撐的任一特定方式限制。
實例方法300可在方塊395包括將晶圓(或面板)切塊。方塊395可(例如)共用圖1的實例方法100的方塊195的任何或所有方面。方塊395可包括以多種方式中的任何者將晶圓(或面板)切塊,本文中提供其非限制性實例。
本文中的論述已大體聚焦於處理晶圓或面板的一或兩個封裝。對一或兩個封裝的此聚焦只是為了說明清晰。應理解,可對全部晶圓或面板執行本文中論述的過程步驟中的任一者或全部。舉例來說,可對單一晶圓或面板將圖4A到圖4J和本文中的其它圖處提供的說明中的每一個重複數十或數百次。舉例來說,直到切塊,在說明的組合件中的一個與晶圓或面板的相鄰組合件之間才可存在分離。
方塊395可(例如)包括將來自晶圓或面板的個別封裝切塊(例如,機械沖切、機械鋸切、鐳射切割、軟波束切割、電漿切割等)。此切塊的結果可(例如)為圖4I中展示的封裝。舉例來說,切塊可形成包括封裝的多個元件的共平面側表面的封裝的側表面。舉例來說,模具材料430、在方塊320到325形成的再分佈結構的介電層471、483和485等中的任一者或全部的側表面可共平面。
可(例如)沿著在方塊315形成凹槽所沿著的相同道中的一些或全部執行方塊395。如本文中所論述,在方塊320的RDL介電層形成期間,介電材料形成於在方塊315形成的凹槽中(例如,流動至所述凹槽內,沉積於所述凹槽中等)。在實例實施方案中,穿過凹槽中(和/或上)的此介電材料發生切塊切割。
圖4I和圖4J提供方塊395的各種方面的實例說明。展示實例切塊切割495穿過模具材料430和在方塊315到325形成的再分佈結構的介電層471、483和485。切口495的實例切塊切割寬度WD小於凹槽419的凹槽寬度WG。因此,在凹槽419的每一側,存在具有殘餘物寬度WR的介電材料的殘餘物499。殘餘物499側向鄰近(且覆蓋)在於方塊315和335執行的凹槽形成和RD晶圓支撐層去除後保留的RD結構410。
在實例實施方案中,凹槽寬度WG可比切塊切割寬度WD大一到兩個微米,或小兩個微米。殘餘物寬度WR可接著(例如)為從半微米到一微米。在另一實例實施方案中,凹槽寬度WG可比切塊切割寬度WD大三到五微米。殘餘物寬度WR可接著(例如)在1.5到2.5微米範圍中。舉例來說,切塊切割寬度WD可為30到40微米,且凹槽寬度WG可為50微米。在再一實例實施方案中,凹槽寬度WG可比切塊切割寬度WD大至多十微米。殘餘物寬度WR可接著(例如)為至多五微米。
如本文中所提到,方塊395可沿著在方塊315形成凹槽的所有相同線路切塊(或單切),但情況不必如此。實例說明提供於圖2M、圖2N和圖2O處且在本文中論述,例如,用圖4A到圖4J中展示的實例的介電材料471代替凹槽219、219A和219B中的模具材料230。
如本文中所論述,RD晶圓可包括不僅RD結構,而且還可包括半導體裝置和/或被動裝置。舉例來說,RD晶圓可包括功能半導體晶粒的晶圓。在實例情境中,額外功能半導體晶粒不必附著到RD結構,這是由於(例如)RD結構可已形成於功能晶粒上且電耦合到功能晶粒。然而,注意,可仍然添加額外晶粒,如在圖1到圖4的實例中展示。另外,可跳過模製步驟。圖5到圖7提供額外功能晶粒不附著到RD結構和省略模製步驟的實例實施方案。
圖5展示根據本發明的各種方面的用於製造半導體裝置的方法500。實例方法500可(例如)與本文中(例如)關於圖1、圖2A到圖2O、圖3、圖4A到圖4J、圖6A到圖6H、圖7等論述的其它實例方法共用任何或所有特性。
圖6A到圖6H為說明圖5的實例方法500的各種方面的橫截面圖,展示根據此實例方法500製造的半導體裝置。在圖6A到圖6H中展示的方法和結構可(例如)與在圖1、圖2A到圖2O、圖3、圖4A到圖4J、圖5、圖7等中展示的類似方法和結構共用任何或所有特性。
現將一起論述圖5和圖6A到圖6H。應注意,在不脫離本發明的範圍的情況下,方法500的實例方塊的次序可變化。還應注意,在不脫離本發明的範圍的情況下,可省略方法500的實例方塊中的任何者,和/或可插入其它方塊(例如,本文中論述的任一其它方塊)。
實例方法500可在方塊510處包括製備晶圓。框510可與圖1的實例方法100的方塊110、圖3的實例方法300的方塊310等共用任何或所有特性。
圖6A提供方塊510的各種方面的實例說明。參看圖6A,晶圓600A可(例如)包括支撐層605(例如,矽或其它半導體層、玻璃層等)。支撐層605(或其一部分)可(例如)包括塊狀矽(稍後可去除其中的至少一些(若非全部))。主動區域610可形成於支撐層605上,例如,在晶圓600A的晶粒區域中。主動區域610可(例如)包括半導體電路系統、整合式被動裝置、信號分佈結構(例如,包括本文中論述的RD或RDL結構等中的任一者或全部的特性),可在後段生產線(BEOL)晶圓處理中形成的多種電路元件中的任何者等。
對應於待形成到第一半導體裝置內的第一功能晶粒(或多個第一功能晶粒和/或其它裝置)的第一區域大體展示於標籤675處,且對應於待形成到第二半導體裝置內的第二功能晶粒(或多個第二功能晶粒和/或其它裝置)的第二區域大體展示於標籤676處。
注意,方塊510可包括從多種來源中的任一者接收晶圓600A。舉例來說,方塊510可包括從製造線中的上游站、從不同地理位置處的供應商等接收晶圓600A。
一般來說,方塊510可包括製備晶圓。因此,本發明的範圍不應受到執行此製備的任一特定方式的特性限制。
實例方法500可在方塊515包括沿著晶圓600A的切塊線(或單切線)在晶圓600A中形成凹槽。此凹槽形成也可在本文中被稱作部分切塊。方塊515可(例如)與圖3的實例方法300的方塊315和/或與圖1的實例方法100的方塊130共用任何或所有特性。
凹槽可包括多種特性中的任何者。舉例來說,凹槽可具有大於晶圓的主動區域的深度。在晶圓的主動區域包括半導體電路系統、一或多個介電層和一或多個傳導層的實例實施方案中,凹槽可具有大於此電路系統、介電層和傳導層的全部厚度的深度。舉例來說,凹槽可延伸到晶圓的塊狀矽和/或延伸到晶圓的塊狀矽內。舉例來說,在實例實施方案中,凹槽可具有比主動區域的厚度大至少一微米的深度。在另一實例實施方案中,凹槽可具有比主動區域的厚度大從一微米到五微米的深度。在再一實例實施方案中,凹槽可具有多達十微米的總深度或比主動區域的厚度大多達十微米的深度。凹槽可(例如)具有小於晶圓的總厚度的5%(或10%)的深度。
凹槽可包括大於(或例如,不少於)稍後執行的切塊切割(例如,在方塊595)的寬度的寬度。舉例來說,凹槽可包括比此切塊切割寬度大從一微米到兩微米的寬度。並且,舉例來說,凹槽可包括比此切塊切割寬度大從三微米到五微米的寬度。另外,舉例來說,凹槽可包括比此切塊切割寬度大不大於十微米的總寬度。
凹槽可(例如)包括平底部,但還可具有碗形或斜底表面。注意,雖然凹槽在本文中大體呈現具有垂直側,但此類側也可傾斜。舉例來說,凹槽可在頂部比在底部寬,或反之亦然。
方塊515可包括以多種方式中的任何者形成凹槽。舉例來說,方塊515可包括利用機械鋸、鐳射鋸、電漿鋸、定向能量鋸等中的任何一或多者在受控制的深度形成凹槽。在實例實施方案中,方塊515包括利用機械和鐳射切除兩者形成凹槽。
圖6B提供方塊515的各種方面的實例說明,例如,凹槽形成方面。圖6B展示實例有槽晶圓600B。凹槽619形成於第一區域675(例如,對應於待形成的第一半導體封裝)與第二區域676(例如,對應於待形成的第二半導體封裝)之間的切塊線上。另外,第二凹槽619B形成於第一區域675與左邊的另一區域(未展示)之間。並且,第三凹槽619C形成於第二區域676與右邊的另一區域(未展示)之間。以此方式,此類凹槽的矩陣(例如,包括許多行和列)可形成於正形成的封裝的晶圓或面板上。舉例來說,正形成的每一半導體封裝可在此時點由凹槽包圍。注意,如本文中所論述,也可形成在封裝的佔據面積內(例如,在單一封裝的晶粒和/或其它元件之間)延伸的此類凹槽。
在替代實施方案中,如同本文中形成的所有凹槽,替代單一凹槽,此類凹槽中的多個可形成於兩個鄰近區域之間。接著在切塊期間(例如,在方塊595),可去除此類凹槽之間的晶圓材料。
一般來說,方塊615可包括沿著晶圓的切塊線(或單切線)在RD晶圓中形成凹槽。因此,本發明的範圍不應受到特定凹槽的特性或形成此凹槽的任一特定方式限制。
實例方法500可在方塊520包括形成第一再分佈層(RDL)介電層。方塊520可(例如)與圖3的實例方法的方塊320和/或圖1的實例方法100的方塊155共用任何或所有特性。方塊520可包括以多種方式中的任何者形成第一RDL介電層,本文中提供其非限制性實例。
在實例實施方案中,第一RDL介電層可包括形成於主動區域的第一側上的有機材料(例如,聚醯亞胺(PI)、苯並環丁烯(BCB)、聚苯並惡唑(PBO)、雙馬來醯亞胺三嗪(BT)、酚系樹脂、環氧樹脂、其等效物、其化合物等)。在各種實例實施方案中,然而,第一RDL介電層可包括無機材料(例如,Si3N4、SiO2、SiON等)。第一RDL介電層可(例如)利用層壓膜、液體、糊狀物等形成。
可使用多種介電沉積工藝中的任何一或多個形成第一RDL介電層,例如,旋塗、噴塗、印刷、燒結、熱氧化、物理氣相沉積(PVD)、電漿氣相沉積、化學氣相沉積(CVD)、薄片層壓、其組合等。
圖6C提供方塊520的各種方面的實例說明600C。舉例來說,第一RDL介電層671形成於主動區域610上(或上方)且還填充在方塊515形成的凹槽619、619B和619C。展示第一RDL介電層671完全填充凹槽619、619B和619C(例如,具有完全平坦的頂表面),但完全填充並非必要。舉例來說,在另一實例實施方案中,在凹槽619、619B和619C上的第一RDL介電層671的頂表面中可存在突降。在另一實例實施方案中,如將在本文中關於圖7所論述,RDL介電層671中極少部分或無部分可延伸到凹槽619、619B和619C。如其它說明中所展示,可穿過第一RDL介電層671形成導通孔以提供對主動區域610的傳導性特徵的傳導性接取。雖未展示,但在主動區域610的此類傳導性特徵由介電層(例如,原生介電層、BEOL介電層等)覆蓋的實例情境中,可去除此層或可在此層中形成導通孔以提供對主動區域610的傳導性特徵的傳導性接取。
一般來說,方塊520可包括形成第一介電層(例如,第一RDL介電層),例如,在主動區域610上(或上方)和在凹槽619、619B和619C中。因此,本發明的範圍不應受到特定介電層的特性或形成介電層的特定方式的特性限制。
實例方法500可在方塊525包括在主動區域上形成再分佈層(或再分佈結構)的其餘部分。方塊525可(例如)與圖3的實例方法300的方塊325和/或圖1的實例方法100的方塊155到185共用任何或所有特性。圖6D提供方塊525的各種方面的實例說明600D。注意,也可稍後形成互連結構(例如,傳導球或凸塊等),例如,在方塊595處的切塊前。
實例方法500可在方塊530包括將具有形成於其上的RDL結構的晶圓(或面板)附著到晶圓支撐結構。方塊530可(例如)與圖3的實例方法300的方塊330和/或圖1的實例方法100的方塊140共用任何或所有特性。方塊530可包括以多種方式中的任何者將晶圓附著到晶圓支撐結構,本文中提供其非限制性實例。
晶圓支撐結構可(例如)包括由矽、玻璃或各種其它材料(例如,介電材料)形成的晶圓或固定裝置。方塊530可(例如)包括利用黏合劑、真空固定裝置等將晶圓(或面板)附著到晶圓支撐結構。
圖6E提供方塊530的各種方面的實例說明600E,例如,晶圓支撐附著方面。晶圓支撐結構650附著到在方塊520到525形成的RDL結構(其可(例如)還包含互連結構(例如,傳導性球或凸塊等))的被暴露側。舉例來說,晶圓支撐結構650可附著到互連結構和RDL結構的最外介電層。晶圓支撐結構650可(例如)用黏合劑附著。在如圖6E中所展示已形成互連結構(例如,封裝互連結構)的實例實施方案中,可將此類互連結構嵌入於晶圓支撐結構650中和/或用以附著晶圓支撐結構650的黏合材料中。
注意,為了說明的原因,相對於圖6D,圖6E中的圖式已旋轉180度。還要注意,貫穿本發明的圖式定向是為了說明原因而定向,且並不意味著暗示製造期間的實際組合件定向,實際組合件定向可與圖式相同,但在不脫離本發明的範圍的情況下,其也可不同。
一般來說,方塊530可包括將晶圓(例如,RDL的被暴露側)附著到晶圓支撐結構。因此,本發明的範圍不應受到任一特定類型的晶圓支撐結構的特性或附著晶圓支撐結構的任一特定方式的特性限制。
實例方法500可在方塊535包括使晶圓變薄。方塊535可(例如)與圖3的實例方法300的方塊335和/或圖1的實例方法100的方塊145共用任何或所有特性。方塊335可包括以多種方式中的任何者使晶圓變薄,本文中提供其非限制性實例。
如本文中所論述,晶圓可包括其上形成和/或承載主動半導體電路系統、整合式被動裝置和/或RD結構的支撐層。支撐層可(例如)包括半導體材料(例如,塊狀矽)。在支撐層包括塊狀矽層的實例情境中,方塊535可包括去除塊狀矽(例如,從晶圓去除所有塊狀矽、從晶圓去除幾乎所有塊狀矽(例如,至少80%或90%)等)。舉例來說,方塊535可包括機械研磨塊狀矽。注意,可將支撐層去除到任何所要的量,例如,以達成所要的裝置薄度,同時維持至少最小所要量的結構完整性。
圖6F提供方塊535的各種方面的實例說明,例如,晶圓變薄方面。舉例來說,從晶圓支撐層605去除支撐層605(在圖6E中展示)或其一部分,從而導致剩餘部分605'。在所說明的實例中,主動區域610可仍然附著到晶圓支撐層605'(例如,塊狀矽)的至少一部分。
如圖6F中所展示,在實例實施方案中,作為支撐層605的相當大部分的去除的結果,保留在原始晶圓600A上的全部(例如,如在方塊610製備且如在圖6A中所展示)為晶圓600A的待形成到半導體裝置封裝內的區域。舉例來說,去除支撐層605的過多材料(例如,過多塊狀矽)。
一般來說,方塊535可包括使晶圓變薄。因此,本發明的範圍不應受到任一特定類型的晶圓材料的特性或晶圓材料去除的任一特定方式的特性限制。
實例方法500可在方塊590包括去接合(去附著)在方塊530附著的晶圓支撐。方塊590可(例如)共用圖3的實例方法300的方塊390和/或圖1的實例方法100的方塊190的任何或所有方面。方塊590可包括以多種方式中的任何者執行此去接合,本文中提供其非限制性方面。
舉例來說,在黏合性地附著晶圓支撐的實例情境中,可釋放黏合劑(例如,使用熱和/或力)。並且,舉例來說,可利用化學脫模劑。在利用真空力附著晶圓支撐的另一實例情境中,可釋放真空力。注意,在涉及黏合劑或其它物質以輔助晶圓支撐附著的情境中,方塊590可包括在去接合後清潔來自電組合件和/或來自晶圓支撐的殘餘物。
圖6F和圖6G提供方塊590的各種方面的實例說明。舉例來說,在圖6G中去除圖6F中說明的晶圓支撐650。
一般來說,方塊590可包括去接合晶圓支撐。因此,本發明的範圍不應受到任一特定類型的晶圓支撐的特性或去接合晶圓支撐的任一特定方式限制。
實例方法500可在方塊595包括將晶圓(或面板)切塊。方塊595可(例如)與圖3的實例方法300的方塊395和/或圖1的實例方法100的方塊195共用任何或所有方面。方塊595可包括以多種方式中的任何者將晶圓(或面板)切塊,本文中提供其非限制性實例。
本文中的論述已大體聚焦於處理晶圓或面板的一或兩個封裝。對一或兩個封裝的此聚焦只是為了說明清晰。應理解,可對全部晶圓或面板執行本文中論述的過程步驟中的任一者或全部。舉例來說,可對單一晶圓或面板將圖6A到圖6H和本文中的其它圖處提供的說明中的每一個重複數十或數百次。舉例來說,直到切塊,在說明的組合件中的一個與晶圓或面板的相鄰組合件之間才可存在分離。
方塊595可(例如)包括將來自晶圓或面板的個別封裝切塊(例如,機械沖切、機械鋸切、鐳射切割、軟波束切割、電漿切割等)。此切塊的結果可(例如)為圖6G中展示的封裝。舉例來說,切塊可形成包括封裝的多個元件的共平面側表面的封裝的側表面。舉例來說,在方塊535變薄後剩下的塊狀矽、在方塊520形成的介電層、在方塊525形成的介電層等中的任一者或全部的側表面可共平面。
可(例如)沿著在方塊515形成凹槽所沿著的相同道中的一些或全部執行方塊595。如本文中所論述,在方塊520的RDL介電層形成期間,介電材料形成於在方塊515形成的凹槽中(例如,流動至所述凹槽內,沉積於所述凹槽中等)。在實例實施方案中,穿過凹槽中(和/或上)的此介電材料發生切塊切割。
圖6G和圖6H提供方塊595的各種方面的實例說明。展示實例切塊切口695穿過塊狀矽605'、介電層671和在方塊520形成的介電層中的任一者或全部。切口695的實例切塊切割寬度WD小於凹槽619的凹槽寬度WG。因此,在凹槽619的每一側,存在具有殘餘物寬度WR的介電材料的殘餘物699。殘餘物699側向鄰近(且覆蓋)在於方塊515和535執行的凹槽形成和晶圓變薄後保留的主動區域610。
在實例實施方案中,凹槽寬度WG可比切塊切割寬度WD大一到兩個微米,或小兩個微米。殘餘物寬度WR可接著(例如)為從半微米到一微米。在另一實例實施方案中,凹槽寬度WG可比切塊切割寬度WD大三到五微米。殘餘物寬度WR可接著(例如)在1.5到2.5微米範圍中。舉例來說,切塊切割寬度WD可為30到40微米,且凹槽寬度WG可為50微米。在另一實例實施方案中,凹槽寬度WG可在20到100微米範圍中。在再一實例實施方案中,凹槽寬度WG可比切塊切割寬度WD大至多十微米。殘餘物寬度WR可接著(例如)為至多五微米。
如本文中所提到,方塊595可沿著在方塊315形成凹槽的所有相同線路切塊(或單切),但情況不必如此。
如圖5和圖6A到圖6H中所展示,本文中的各種實例方法和結構可導致具有增強的可靠性的晶圓級晶圓規模封裝。
如本文中所論述,在各種實例實施方案中,可使凹槽619、619B和619C實質上或完全為空。舉例來說,第一RDL層可以跨越凹槽而不進入凹槽的方式形成和/或只選擇性地形成於對應於正形成的半導體封裝的區域上。
舉例來說,在方塊520,可通過在晶圓上層壓介電薄片來形成第一RDL層,其中介電薄片跨越凹槽。此情況的實例展示於圖7,其中第一RDL層771跨越凹槽619、619B和619C。實例方法500的處理的其餘部分可(例如)保持相同。舉例來說,在方塊535變薄後剩下的方塊狀矽605'、在方塊520形成的第一RDL介電層771、在方塊525形成的介電層等中的任一者或全部的側表面可在方塊595處的切塊後共平面。在此實例實施方案中,主動區域的側面表面可被曝露,而非由如圖6G中所展示的第一RDL介電層671的殘餘物覆蓋,且可相對於通過在方塊595的切塊形成的另一側表面凹進。替代地,主動區域的側面表面的至少頂部部分可由第一RDL介電層671的殘餘物覆蓋。
本文中提供的處理和/或結構實例可(例如)與2015年8月11日申請且題為“半導體封裝以及其製造方法(Semiconductor Package and Fabricating Method Thereof)”的美國專利申請案第14/823,689號中揭示的實例和在2016年1月27日申請且題為“半導體封裝以及其製造方法(Semiconductor Package and Fabricating Method Thereof)”的美國臨時專利申請案第62/287,544號中揭示的實例共用任何或所有特性;所述申請案中的每一個的全部內容在此被以引用的方式併入本文中。舉例來說且不受限制,在此類申請案中展示的封裝結構中的任一個或全部可利用本文中揭示的方法和結構形成。
本文中的論述包括展示半導體封裝組合件的各種部分的眾多說明性圖。為了說明清晰,此類圖並未展示每一實例組合件的所有方面。本文中提供的實例組合件中的任何者可與本文中提供的任何或所有其它組合件共用任何或所有特性。舉例來說且不受限制,關於圖1到圖2展示和論述的實例組合件中的任何者或其部分可併入到關於圖3到圖4論述的實例組合件中的任何者內。相反地,關於圖3到圖4展示和論述的組合件中的任何者可併入到關於圖1到圖2論述的實例組合件內。
總之,本發明的各種方面提供一種半導體封裝結構和一種用於製造半導體封裝的方法。作為非限制性實例,本發明的各種方面提供各種半導體封裝結構,和其製造方法,所述半導體封裝結構包括在多個其它半導體晶粒之間導引電信號的橋接晶粒。雖然已經參考某些方面和實例描述了前述內容,但是所屬領域的技術人員應理解,在不脫離本發明的範圍的情況下,可進行各種改變和可取代等效物。此外,在不脫離本發明的範圍的情況下,可進行許多修改以使特定情況或材料適宜於本發明的教示。因此,希望本發明不限於所揭示的特定實例,而是本發明將包含屬於所附請求項的範圍的所有實例。
100:實例方法 105~195:方塊 200A~200D:晶圓 205:支撐層 210:RD結構 211:基底介電層 212:第一傳導跡線 213:第一介電層 214:傳導性導通孔 215:第二傳導跡線 216:第二介電層 217:互連結構 219:接點 219A~219D:凹槽 225:晶粒 225B:半導體晶粒 226:晶粒 226B:半導體晶粒 227:晶粒 228:底填充料 230:模具材料 250:晶圓支撐結構 271:第一RDL介電層 281:第一RDL跡線 282:第一RDL跡線 283:第二RDL介電層 284:導通孔 285:第三RDL層 291:第二RDL跡線 292:互連結構 295:切塊切割 295A~295D:小方塊切割 299:殘餘物 299C:殘餘物 300:實例方法 305~195:方塊 400A~400B:晶圓 405:支撐層 410:RD結構 411:基底介電層 412:第一傳導跡線 413:第一介電層 414:傳導性導通孔 415:第二傳導跡線 416:第二介電層 419:凹槽 419B:第二凹槽 419C:第三凹槽 425:第一晶粒 426:第二晶粒 430:模具材料 450:晶圓支撐 471:介電層 475:第一附著區域 476:第二附著區域 483:介電層 485:介電層 495:切塊切割 499:殘餘物 500:實例方法 510~595:方塊 600A~600H:晶圓 605:支撐層 605’:支撐層 610:主動區域 619:凹槽 619B:第二凹槽 619C:第三凹槽 650:晶圓支撐結構 671:介電層 675:第一區域 676:第二區域 695:切口 699:殘餘物
[圖1]展示製造電子裝置的實例方法的流程圖。
[圖2A到圖2O]展示說明根據本發明的各種方面的實例電子裝置和製造實例電子裝置的實例方法的橫截面圖。
[圖3]展示製造電子裝置的實例方法的流程圖。
[圖4A到圖4J]展示說明根據本發明的各種方面的實例電子裝置和製造實例電子裝置的實例方法的橫截面圖。
[圖5]展示製造電子裝置的實例方法的流程圖。
[圖6A到圖6H]展示說明根據本發明的各種方面的實例電子裝置和製造實例電子裝置的實例方法的橫截面圖。
[圖7]展示說明根據本發明的各種方面的實例電子裝置和製造實例電子裝置的實例方法的橫截面圖。
225:晶粒
226:晶粒
227:晶粒
230:模具材料
271:第一RDL介電層
283:第二RDL介電層
285:第三RDL層
291:第二RDL跡線
292:互連結構
295B:小方塊切割
295C:小方塊切割
299C:殘餘物

Claims (20)

  1. 一種電子裝置,其包括: 第一信號分佈結構(DS1),其包括第一DS1介電層、第一DS1導體層、DS1頂部側、DS1底部側和在所述DS1頂部側與所述DS1底部側之間延伸的多個DS1側邊,其中所述多個DS1側邊被囊封結構覆蓋; 第二信號分佈結構(DS2),其包括第一DS2介電層、第一DS2導體層、DS2頂部側、DS2底部側和在所述DS2頂部側與所述DS2底部側之間延伸的多個DS2側邊,其中: 所述DS1底部側是在所述DS2頂部側上, 所述第一信號分佈結構的寬度與所述第二信號分佈結構的寬度不同, 全部所述多個DS2側邊被曝露,以及 所述DS1底部側未被所述囊封結構覆蓋。
  2. 如請求項1的電子裝置,其中所述囊封結構直接接觸所述多個DS1側邊。
  3. 如請求項1的電子裝置,包括第一功能半導體晶粒,其包括晶粒頂部側、晶粒底部側和多個晶粒側邊,其中所述晶粒底部側耦合至所述DS1頂部側。
  4. 如請求項3的電子裝置,其中所述晶粒頂部側和所述多個晶粒側邊被所述囊封結構覆蓋。
  5. 如請求項4的電子裝置,其中所述囊封結構直接接觸所述多個晶粒側邊。
  6. 如請求項1的電子裝置,包括互連結構,其耦合至所述DS2底部側,其中所述互連結構包括傳導凸塊或傳導球中的一或兩者。
  7. 如請求項6的電子裝置,其中所述互連結構經由凸塊下金屬耦合至所述DS2底部側。
  8. 如請求項1的電子裝置,其中所述DS1底部側接觸所述DS2頂部側。
  9. 如請求項1的電子裝置,其中所述囊封結構具有從所述DS2頂部側算起的第一垂直高度,所述DS1頂部側具有從所述DS2頂部側算起的第二垂直高度,且所述第二垂直高度大於所述第一垂直高度。
  10. 如請求項1的電子裝置,其中所述囊封結構是模製材料。
  11. 一種電子裝置,其包括: 第一信號分佈結構(DS1),其包括第一DS1介電層、第一DS1導體層、DS1頂部側、DS1底部側和在所述DS1頂部側與所述DS1底部側之間延伸的多個DS1側邊,其中所述多個DS1側邊被囊封結構覆蓋; 第二信號分佈結構(DS2),其包括第一DS2介電層、第一DS2導體層、DS2頂部側、DS2底部側和在所述DS2頂部側與所述DS2底部側之間延伸的多個DS2側邊,其中: 所述DS1底部側接觸所述DS2頂部側, 所述第一信號分佈結構的寬度與所述第二信號分佈結構的寬度不同, 全部所述多個DS2側邊被曝露,以及 所述DS1底部側與所述囊封結構的底部側共平面。
  12. 如請求項11的電子裝置,其中所述囊封結構直接接觸所述多個DS1側邊。
  13. 如請求項11的電子裝置,包括第一功能半導體晶粒,其包括晶粒頂部側、晶粒底部側和多個晶粒側邊,其中所述晶粒底部側耦合至所述DS1頂部側。
  14. 如請求項13的電子裝置,其中所述晶粒頂部側和所述多個晶粒側邊被所述囊封結構覆蓋。
  15. 如請求項14的電子裝置,其中所述囊封結構直接接觸所述多個晶粒側邊。
  16. 如請求項11的電子裝置,包括互連結構,其耦合至所述DS2底部側,其中所述互連結構包括傳導凸塊或傳導球中的一或兩者。
  17. 如請求項16的電子裝置,其中所述互連結構經由凸塊下金屬耦合至所述DS2底部側。
  18. 如請求項11的電子裝置,其中所述第一信號分佈結構和所述第二信號分佈結構都無核心。
  19. 如請求項11的電子裝置,其中所述囊封結構具有從所述DS2頂部側算起的第一垂直高度,所述DS1頂部側具有從所述DS2頂部側算起的第二垂直高度,且所述第二垂直高度大於所述第一垂直高度。
  20. 如請求項11的電子裝置,其中所述囊封結構是模製材料。
TW110100764A 2016-03-10 2016-12-21 半導體封裝以及其製造方法 TWI765520B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/066,724 US9818684B2 (en) 2016-03-10 2016-03-10 Electronic device with a plurality of redistribution structures having different respective sizes
US15/066,724 2016-03-10

Publications (2)

Publication Number Publication Date
TW202115841A TW202115841A (zh) 2021-04-16
TWI765520B true TWI765520B (zh) 2022-05-21

Family

ID=59787089

Family Applications (2)

Application Number Title Priority Date Filing Date
TW110100764A TWI765520B (zh) 2016-03-10 2016-12-21 半導體封裝以及其製造方法
TW105142444A TWI716517B (zh) 2016-03-10 2016-12-21 半導體封裝以及其製造方法

Family Applications After (1)

Application Number Title Priority Date Filing Date
TW105142444A TWI716517B (zh) 2016-03-10 2016-12-21 半導體封裝以及其製造方法

Country Status (4)

Country Link
US (1) US9818684B2 (zh)
KR (1) KR20170106186A (zh)
CN (3) CN116884961A (zh)
TW (2) TWI765520B (zh)

Families Citing this family (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8884427B2 (en) * 2013-03-14 2014-11-11 Invensas Corporation Low CTE interposer without TSV structure
KR101731700B1 (ko) * 2015-03-18 2017-04-28 앰코 테크놀로지 코리아 주식회사 반도체 디바이스 및 그 제조 방법
US9818684B2 (en) * 2016-03-10 2017-11-14 Amkor Technology, Inc. Electronic device with a plurality of redistribution structures having different respective sizes
US9935009B2 (en) * 2016-03-30 2018-04-03 International Business Machines Corporation IR assisted fan-out wafer level packaging using silicon handler
US10504827B2 (en) 2016-06-03 2019-12-10 Amkor Technology, Inc. Semiconductor device and manufacturing method thereof
US20180166356A1 (en) * 2016-12-13 2018-06-14 Globalfoundries Inc. Fan-out circuit packaging with integrated lid
JP6662337B2 (ja) * 2017-03-27 2020-03-11 信越化学工業株式会社 半導体装置及びその製造方法、並びに積層体
US11121050B2 (en) * 2017-06-30 2021-09-14 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacture of a semiconductor device
DE102018106434B4 (de) 2017-06-30 2023-05-25 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
CN107507816A (zh) * 2017-08-08 2017-12-22 中国电子科技集团公司第五十八研究所 扇出型晶圆级多层布线封装结构
US10651126B2 (en) * 2017-12-08 2020-05-12 Applied Materials, Inc. Methods and apparatus for wafer-level die bridge
US10991660B2 (en) * 2017-12-20 2021-04-27 Alpha Anc Omega Semiconductor (Cayman) Ltd. Semiconductor package having high mechanical strength
US10847470B2 (en) * 2018-02-05 2020-11-24 Advanced Semiconductor Engineering, Inc. Semiconductor package structure and method for manufacturing the same
US10755994B2 (en) 2018-10-29 2020-08-25 Advanced Semiconductor Engineering, Inc. Semiconductor package structure and semiconductor substrate
US10658257B1 (en) * 2018-11-01 2020-05-19 Advanced Semiconductor Engineering, Inc. Semiconductor package structure, semiconductor wafer level package and semiconductor manufacturing process
US20200357766A1 (en) * 2019-05-09 2020-11-12 Nanya Technology Corporation Semiconductor packages with adhesion enhancement layers
US11545455B2 (en) * 2019-05-28 2023-01-03 Apple Inc. Semiconductor packaging substrate fine pitch metal bump and reinforcement structures
KR20210023021A (ko) 2019-08-21 2021-03-04 삼성전자주식회사 반도체 패키지
CN113035823A (zh) * 2019-12-25 2021-06-25 台湾积体电路制造股份有限公司 封装结构
TWI768294B (zh) 2019-12-31 2022-06-21 力成科技股份有限公司 封裝結構及其製造方法
US11424219B2 (en) * 2020-01-16 2022-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Package structure and method of fabricating the same
US11264362B2 (en) * 2020-05-28 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method of fabricating the same
KR20220022218A (ko) 2020-08-18 2022-02-25 삼성전자주식회사 반도체 패키지 및 반도체 패키지의 제조 방법
TWI778615B (zh) * 2021-05-06 2022-09-21 強茂股份有限公司 晶圓等級半導體封裝元件的製作方法及其所製作的半導體封裝元件
US20220359465A1 (en) * 2021-05-07 2022-11-10 Taiwan Semiconductor Manufacturing Company, Ltd. Package structures and method for forming the same

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150371932A1 (en) * 2014-06-18 2015-12-24 Dyi-chung Hu Thin film rdl for nanochip package

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2996510B2 (ja) * 1990-11-30 2000-01-11 株式会社日立製作所 電子回路基板
JP3604007B2 (ja) * 2000-03-29 2004-12-22 富士通株式会社 低誘電率被膜形成材料、及びそれを用いた被膜と半導体装置の製造方法
JP4581768B2 (ja) * 2005-03-16 2010-11-17 ソニー株式会社 半導体装置の製造方法
US7462784B2 (en) * 2006-05-02 2008-12-09 Ibiden Co., Ltd. Heat resistant substrate incorporated circuit wiring board
US7550857B1 (en) * 2006-11-16 2009-06-23 Amkor Technology, Inc. Stacked redistribution layer (RDL) die assembly package
TWI418269B (zh) * 2010-12-14 2013-12-01 Unimicron Technology Corp 嵌埋穿孔中介層之封裝基板及其製法
TWI476888B (zh) * 2011-10-31 2015-03-11 Unimicron Technology Corp 嵌埋穿孔中介層之封裝基板及其製法
TWI499023B (zh) * 2012-10-11 2015-09-01 Ind Tech Res Inst 封裝基板及其製法
US9799592B2 (en) * 2013-11-19 2017-10-24 Amkor Technology, Inc. Semicondutor device with through-silicon via-less deep wells
US9425121B2 (en) * 2013-09-11 2016-08-23 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated fan-out structure with guiding trenches in buffer layer
US9818684B2 (en) * 2016-03-10 2017-11-14 Amkor Technology, Inc. Electronic device with a plurality of redistribution structures having different respective sizes
US9673148B2 (en) * 2015-11-03 2017-06-06 Dyi-chung Hu System in package

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20150371932A1 (en) * 2014-06-18 2015-12-24 Dyi-chung Hu Thin film rdl for nanochip package

Also Published As

Publication number Publication date
TW201801266A (zh) 2018-01-01
US9818684B2 (en) 2017-11-14
CN107180814B (zh) 2023-07-14
KR20170106186A (ko) 2017-09-20
US20170263544A1 (en) 2017-09-14
TW202115841A (zh) 2021-04-16
CN107180814A (zh) 2017-09-19
CN206657808U (zh) 2017-11-21
CN116884961A (zh) 2023-10-13
TWI716517B (zh) 2021-01-21

Similar Documents

Publication Publication Date Title
TWI765520B (zh) 半導體封裝以及其製造方法
US11462531B2 (en) Multi-stack package-on-package structures
US20210217692A1 (en) Semiconductor package and fabricating method thereof
TWI811191B (zh) 半導體裝置及其製造方法
US9917072B2 (en) Method of manufacturing an integrated stacked package with a fan-out redistribution layer (RDL) and a same encapsulating process
CN106558537B (zh) 集成多输出结构以及形成方法
KR101643471B1 (ko) 리세싱된 엣지들을 갖는 반도체 디바이스 및 그 제조방법
TWI763613B (zh) 半導體裝置及其製造方法
TWI597810B (zh) 封裝
US9633939B2 (en) Semiconductor package and manufacturing method thereof
KR20170034758A (ko) 집적 팬아웃 패키지 및 그 제조 방법
CN110610907A (zh) 半导体结构和形成半导体结构的方法
US20230154893A1 (en) Semiconductor package and fabricating method thereof
TWI726867B (zh) 半導體封裝及製造其之方法
KR20220110673A (ko) 반도체 패키지 및 그 제조 방법
TW202407917A (zh) 半導體封裝以及製造其之方法