TWI764986B - 使用選擇性二氧化矽沉積以形成自我對準接觸窗的方法 - Google Patents

使用選擇性二氧化矽沉積以形成自我對準接觸窗的方法 Download PDF

Info

Publication number
TWI764986B
TWI764986B TW107105503A TW107105503A TWI764986B TW I764986 B TWI764986 B TW I764986B TW 107105503 A TW107105503 A TW 107105503A TW 107105503 A TW107105503 A TW 107105503A TW I764986 B TWI764986 B TW I764986B
Authority
TW
Taiwan
Prior art keywords
layer
metal
substrate
processing method
dielectric layer
Prior art date
Application number
TW107105503A
Other languages
English (en)
Other versions
TW201841215A (zh
Inventor
坎達巴拉 N 泰伯利
韓相哲
蔡洙杜
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201841215A publication Critical patent/TW201841215A/zh
Application granted granted Critical
Publication of TWI764986B publication Critical patent/TWI764986B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

在各種實施例中,描述利用選擇性SiO2 沉積以形成自我對準接觸窗之基板處理方法。該方法包含:提供一平坦化基板,該平坦化基板包含一介電層表面及一含金屬表面;以一含金屬催化層覆蓋該介電層表面;及使該平坦化基板暴露至包含一矽烷醇氣體之一處理氣體一時間期間,以選擇性地沉積一SiO2 層在該介電層表面上之該含金屬催化層上。根據一實施例,該方法更包含:沉積一蝕刻停止層在該SiO2 層上及在該含金屬表面上;沉積一層間介電層在該平坦化基板上;在該層間介電層中蝕刻一凹陷特徵部及停止在該含金屬表面上之該蝕刻停止層上;及以一金屬填充該凹陷特徵部。

Description

使用選擇性二氧化矽沉積以形成自我對準接觸窗的方法
相關申請案之交互參考 本申請案關於2017年2月14日所提出之美國臨時專利申請案第62/458,858號並且主張其優先權,其全部揭示內容係合併於此做為參考文獻。
本發明係關於用於處理基板之方法,具體而言,係關於使用選擇性SiO2 沉積以形成自我對準接觸窗之方法。
金屬氧化物半導體(MOS)電晶體,例如MOS場效電晶體(MOSFET),通常會使用在積體電路之製造中。MOS電晶體包含數個構件,例如閘極電極、閘極介電層、間隙物、及源極與汲極擴散區。層間介電質(ILD)通常會形成在MOS電晶體上並且覆蓋擴散區。
藉由接觸插塞以電連接至MOS電晶體,接觸插塞通常是由金屬( 例如鎢)所形成。接觸插塞之製做可藉由,首先將ILD層圖案化以形成向下到達擴散區之貫孔。圖案化處理通常是光微影處理。接著,將金屬沉積在貫孔中以形成接觸插塞。使用相同或類似的處理,形成向下到達閘極電極之不同接觸插塞。
在製做接觸插塞時可能發生之問題是,接觸窗至閘極短路(contact-to-gate short)之形成。接觸窗至閘極短路係一種短路電路,發生於接觸插塞未對準並且與閘極電極發生電接觸的時候。防止接觸窗至閘極短路之習知方法係藉由控制對準及關鍵尺寸(CD)。遺憾地,對於具有小閘極節距的電晶體而言,對於閘極及接觸窗尺寸之嚴格CD控制會對製程窗(process window)造成限制。因此,接觸窗至閘極短路之可能性是非常高的。當電晶體閘極節距尺寸進一步微縮時,因為關鍵尺寸變得更小,故此問題變得更常見。
自我對準圖案化(self-aligned patterning)需要取代疊合驅動圖案化(overlay-driven patterning),俾使甚至在引入EUV之後,成本效能縮放亦可繼續。在圖案化高度微縮的技術節點中,薄膜之選擇性沉積是重要的步驟。
在各種實施例中,描述使用選擇性SiO2 沉積以形成自我對準接觸窗之方法。根據一實施例,該方法包含:提供一平坦化基板,該平坦化基板包含一介電層表面及一含金屬表面;以一含金屬催化層覆蓋該介電層表面;及使該平坦化基板暴露至包含一矽烷醇氣體之一處理氣體一時間期間,以選擇性地沉積一SiO2 層在該介電層表面上之該含金屬催化層上。
根據一實施例,該方法更包含:沉積一蝕刻停止層在該SiO2 層上及在該含金屬表面上;沉積一層間介電層在該平坦化基板上;在該層間介電層中蝕刻一凹陷特徵部及停止在該含金屬表面上之該蝕刻停止層上;及以一金屬填充該凹陷特徵部。
根據一實施例,該方法包含:提供一平坦化基板,該平坦化基板包含一介電層表面及一含金屬表面;以一第一含金屬催化層覆蓋該介電層表面;及使該平坦化基板暴露至包含一矽烷醇氣體之一處理氣體一時間期間,以沉積一SiO2 層在該介電層表面上及一較薄的額外SiO2 層在該含金屬表面上,其中該暴露之實施係不存在任何氧化及水解劑及在大約150 °C或較低之基板溫度下。該方法更包含:在一蝕刻處理中從該含金屬表面去除該額外SiO2 層;及重覆該等覆蓋、暴露及去除步驟至少一次,以增加在該介電層表面上之該SiO2 層之厚度。
由閘極節距微縮所引起之一個問題是,接觸窗至閘極短路之可能性。當這樣的接觸發生時,短路產生,毀壞了MOS電晶體。目前減少短路之方法包含,控制對準及以較小的關鍵尺寸來圖案化接觸窗。然而,當閘極節距微縮時,符合現行技術之對準需求會變得非常困難。
根據一實施例,描述一方法,用於在MOS電晶體之製做期間,減少接觸窗至閘極短路之可能性。在以下的敘述中,將使用熟悉此項技藝者所通常採用的名詞,以描述示例性實例之各種態樣,以將其工作之本義傳達給其他的熟悉此項技藝者。然而,明顯地,對於熟悉此項技藝者而言,本發明可在僅有所述態樣其中某些之情況下加以實施。為了說明之目的,特定的數字、材料及配置被提出,以提供對於示例性實例之徹底了解。然而,熟悉此項技藝者應當了解,在沒有特定細節之情況下,亦可實施本發明。在其它的情況下,熟知的特徵被省略或簡化,以免模糊了示例性實例。
根據本發明之一實施例,圖1A-1F藉由橫剖面圖而概要地顯示基板之處理方法。顯示在圖1A中之示例性平坦化基板100包含常見於半導體元件中之各種材料層,然而,本發明之實施例可應用於較簡單或更先進的半導體元件。平坦化基板100包含氧化物層102(例如,SiO2 )、氮化物層104(例如,SiN)、閘極接觸層106、頂蓋層108(例如,SiN或SiCN)、源極∕汲極層112(例如,Si或SiC)、具有外露的介電層表面120之介電層110(例如,SiO2 )、具有外露的含金屬表面124之含金屬層114(例如,溝渠矽化物層:CoSi2 、NiSi或MoSi2 )。在示例性平坦化基板100中,含金屬層114可為接觸區域及閘極區域之部分,其在進一步處理期間易於產生接觸窗至閘極短路。可使用化學機械研磨(CMP)製程以平坦化基板100。
圖1B顯示在以含金屬催化層118覆蓋介電層表面120後之平坦化基板100。介電層表面120之末端可具有羥基(-OH),其在介電層表面120提供了良好的覆蓋選擇性(相較於在含金屬表面124上)。根據本發明之某些實施例,含金屬催化層118可包含鋁(Al)、鈦(Ti)、或鋁和鈦兩者。根據一實施例,含金屬催化層118可選自於由Al、Al2 O3 、AlN、AlON、含Al前驅物、含Al合金、CuAl、TiAlN、TaAlN、Ti、TiAlC、TiO2 、TiON、TiN、含Ti前驅物、含Ti合金、及其組合所組成之群組。含金屬催化層118之形成可藉由將平坦化基板100暴露至含金屬前驅物蒸氣及選擇性的含氧氣體及∕或含氮氣體。根據一實施例,該暴露之進行可藉由將平坦化基板100暴露至含金屬氣體脈衝,其優先地吸附大約一單分子層厚之含金屬催化層118在介電層表面120上。金屬可在平坦化基板100之表面起反應,以形成小於一單分子層厚之化學吸附層。在一範例中,含金屬催化層118可包含受吸附的含金屬前驅物,例如三甲基鋁(TMA、AlMe3 )。
本發明之實施例可使用各種的含鋁前驅物。例如,許多鋁前驅物具有化學式: AlL1 L2 L3 Dx 其中,L1 、L2 、L3 為個別的陰離子配位基,D為中性供體配位基,x可為0、1或2。每一L1 、L2 、L3 配位基可個別地選自於烷氧化物(alkoxide)、鹵化物(halide)、芳基氧化物(aryloxide)、醯胺(amide)、環戊二烯基、烷基、矽烷基、脒基(amidinate)、β-二酮基(β-diketonate)、酮亞胺(ketoiminate)、矽烷醇化物(silanoate)、及羧酸鹽(carboxylate)之群組。D配位基可選自於醚、呋喃(furan)、吡啶(pyridine)、吡咯(pyrole)、吡咯烷(pyrolidine)、胺、冠醚(crown ether)、二醇醚(glyme)及腈(nitrile)之群組。
鋁前驅物之其它範例包含:AlMe3 、AlEt3 、AlMe2 H、 [Al(Os Bu)3 ]4 、Al(CH3 COCHCOCH3 )3 、AlCl3 、AlBr3 、AlI3 、Al(Oi Pr)3 、[Al(NMe2 )3 ]2 、Al(i Bu)2 Cl、Al(i Bu)3 、Al(i Bu)2 H、AlEt2 Cl、Et3 Al2 (Os Bu)3 、及Al(THD)3
本發明之實施例可使用各種含Ti前驅物。範例包含具有“Ti-N"分子內鍵結之含Ti前驅物,包含Ti(NEt2 )4 (TDEAT)、Ti(NMeEt)4 (TEMAT)、and Ti(NMe2 )4 (TDMAT)。其它範例包含具有“Ti-C"分子內鍵結之含Ti前驅物,包含Ti(COCH3 )(η5 -C5 H5 )2 Cl、Ti(η5 -C5 H5 )Cl2 、Ti(η5 -C5 H5 )Cl3 、Ti(η5 -C5 H5 )2 Cl2 、Ti(η5 -C5 (CH3 )5 )Cl3 、Ti(CH3 )(η5 -C5 H5 )2 Cl Ti(η5 -C9 H7 )2 Cl2 、Ti((η5 -C5 (CH3 )5 )2 Cl、Ti((η5 -C5 (CH3 )5 )2 Cl2 、Ti(η5 -C5 H5 )2 (μ-Cl)2 、Ti(η5 -C5 H5 )2 (CO)2 、Ti(CH3 )35 -C5 H5 )、Ti(CH3 )25 -C5 H5 )2 、Ti(CH3 )4 、Ti(η5 -C5 H5 )(η7 -C7 H7 )、Ti(η5 -C5 H5 )(η8 -C8 H8 )、Ti(C5 H5 )25 -C5 H5 )2 、Ti((C5 H5 )2 )2 (η-H)2 、Ti(η5 - C5 (CH3 )5 )2 、Ti(η5 - C5 (CH3 )5 )2 (H)2 、及Ti(CH3 )25 - C5 (CH3 )5 )2 。TiCl4 係含有“Ti-鹵素"鍵結之鈦鹵化物前驅物之一範例。
參考圖1C,藉由將平坦化基板100暴露至包含矽烷醇(silanol)之處理氣體,使SiO2 膜130選擇性地沉積在含金屬催化層118上(相較於含金屬表面124)。根據本發明之實施例,含金屬催化層118催化來自矽烷醇氣體之SiO2 膜130之選擇性沉積,且此催化效果被觀察到直到沉積的SiO2 膜130大約5 nm厚。暴露至處理氣體可進行一段時間,在此段時間並未在含金屬表面124上產生明顯的SiO2 沉積。根據本發明之實施例,平坦化基板100係在不存在任何氧化及水解劑之情況下暴露至包含矽烷醇氣體之處理氣體。根據某些實施例,矽烷醇氣體可選自於由三(叔-戊氧基)矽烷醇(tris(tert-pentoxy) silanol,TPSOL)、三(叔-丁氧基)矽烷醇(tris(tert-butoxy) silanol)、及雙(叔-丁氧基)(異丙氧基)矽烷醇(bis(tert-butoxy)(isopropoxy) silanol)所組成之群組。
發明人已經發現,氧化及水解劑並非SiO2 膜沉積所需要。在某些範例中,處理氣體可進一步包含惰性氣體,例如氬。在一實施例中,處理氣體可由一矽烷醇氣體及一惰性氣體所組成。此外,根據一實施例,在暴露期間,基板溫度可為大約150 °C或較低。在另一實施例中,基板溫度可為大約120 °C或較低。在又另一實施例中,基板溫度可為大約100 °C或較低。
圖1D顯示在SiO2 膜130上以及在含金屬表面124上沉積蝕刻停止層140後之平坦化基板100。蝕刻停止層140可,例如,包括Al2 O3
平坦化基板100之進一步處理可包含沉積層間介電層(IDL)150、在IDL 150中蝕刻特徵部並且停止在蝕刻停止層140上。之後,將阻障層158沉積在特徵部中並且以金屬填充特徵部。受金屬填充的特徵部160可,在一範例中,包含鎢(W)、釕(Ru)、銅(Cu)、或鈷(Co)。如圖1E中所示,受金屬填充的特徵部160可能不與下面的含金屬層114及閘極氧化物層116對齊。此可能是由於故意的錯位以增加在受金屬填充的特徵部160每一者之間之間距、或由於疊合(overlay)誤差所致之非故意的錯位。發明人已經了解,在介電層110上之選擇性沉積的SiO2 膜130大大地降低了含金屬層114藉由受金屬填充的特徵部160短路之可能性。
圖1F顯示平坦化基板100之進一步處理,包含在受金屬填充的特徵部160中蝕刻孔洞,並且在孔洞中沉積阻障層168及金屬膜170。在一範例中,金屬膜170可包含銅(Cu)。
根據本發明之一實施例,圖2A-2F藉由橫剖面圖而概要地顯示基板之處理方法。在圖1B中之平坦化基板100已經被重製為在圖2A中之平坦化基板200。圖2A顯示在以含金屬催化層118覆蓋介電層表面120後之平坦化基板200。介電層表面120之末端可具有羥基(-OH),其在介電層表面120提供了良好的覆蓋選擇性(相較於含金屬表面124)。
參考圖2B,藉由將平坦化基板200暴露至包含矽烷醇之處理氣體,使SiO2 膜130沉積在含金屬催化層118上,且使較薄的SiO2 膜132沉積在含金屬表面124上。處理氣體之暴露時間可長於在圖1C中之選擇性沉積,導致選擇性的減低以及較薄的SiO2 膜132在含金屬表面124上之沉積。
在含金屬表面124上之多餘的SiO2 膜132可以蝕刻處理加以去除,例如使用化學氧化物去除(COR)處理或使用稀釋氫氟酸(DHF)溶液。在去除SiO2 膜132期間,可能使SiO2 膜130變薄。產生的平坦化基板200係顯示在圖2C。
以含金屬催化層118覆蓋介電層表面120、藉由暴露至包含矽烷醇氣體之處理氣體之沉積處理、以及蝕刻處理可被重複至少一次,以增加SiO2 膜130之厚度。圖2D顯示在重複處理7次以及在SiO2 膜130中形成自我對準凹陷特徵部142之後之平坦化基板200。
平坦化基板200之進一步處理係顯示在圖2E中,包含沉積阻障層164在凹陷特徵部142中以及以金屬162填充凹陷特徵部142。金屬162可,例如,包含鎢(W)、鈷(Co)、釕(Ru)、或鋁(Al)。
圖2F顯示平坦化基板200之進一步處理,包含在金屬162中蝕刻孔洞,並且在孔洞中沉積阻障層174及金屬膜172。金屬膜172可,例如,包含鎢(W)、鈷(Co)、釕(Ru)、或鋁(Al)。
圖3顯示平坦化基板30之橫剖面TEM影像。SiO2 膜301係選擇性地沉積在SiO2 層300上(相較於TaN阻障層304及W金屬插塞302)。在W金屬插塞302上,沒有觀察到SiO2 之沉積。SiO2 沉積之實施,係利用暴露至TMA 6秒、接著暴露至TPSOL 60秒。
圖4顯示平坦化基板40之橫剖面TEM影像。SiO2 膜401係沉積在SiO2 層400上,且較薄的SiO2 膜403沉積在TaN阻障層404及W金屬插塞402上。SiO2 膜401具有約8.2 nm之厚度,SiO2 膜403在W金屬插塞402上具有約2.8 nm之厚度。SiO2 沉積之實施係使用6次沉積循環,其中每一循環包含暴露至TMA 6秒、接著暴露至TPSOL 60秒。
已經描述基板處理方法之數個實施例,用於使用選擇性SiO2 沉積以形成自我對準接觸窗。雖然本發明已經藉由一或多個實施例之敘述而加以說明,且雖然實施例已經相當詳細地加以描述,但其並非用於侷限或以任何方式限制所附申請專利範圍至這些細節。對於熟悉此項技藝者而言,額外的優點及修改將很容易顯現。因此,本發明並不受限於所述或所示的特定細節、代表性設備及方法及說明性範例。據此,可能與這些細節有所偏離,但不違背大致的發明概念之範圍。
30‧‧‧基板40‧‧‧基板100‧‧‧基板102‧‧‧氧化物層104‧‧‧氮化物層106‧‧‧閘極接觸層108‧‧‧頂蓋層110‧‧‧介電層112‧‧‧源極∕汲極層114‧‧‧含金屬層118‧‧‧含金屬催化層120‧‧‧介電層表面124‧‧‧含金屬表面130‧‧‧SiO2膜132‧‧‧SiO2膜140‧‧‧蝕刻停止層142‧‧‧凹陷特徵部150‧‧‧層間介電層158‧‧‧阻障層160‧‧‧受金屬填充的特徵部162‧‧‧金屬164‧‧‧阻障層168‧‧‧阻障層170‧‧‧金屬膜172‧‧‧金屬膜174‧‧‧阻障層200‧‧‧基板300‧‧‧SiO2層301‧‧‧SiO2膜302‧‧‧W金屬插塞304‧‧‧TaN阻障層400‧‧‧SiO2層401‧‧‧SiO2膜402‧‧‧W金屬插塞403‧‧‧SiO2膜404‧‧‧TaN阻障層
隨附的圖式係包含在此說明書中、並且構成說明書之一部分,隨附的圖式用於說明本發明之實施例,並且與上述的發明內容及下述的實施方式一起用於解釋本發明。
根據本發明之一實施例,圖1A-1F藉由橫剖面圖而概要地顯示基板之處理方法。
根據本發明之一實施例,圖2A-2F藉由橫剖面圖而概要地顯示基板之處理方法。
根據本發明之一實施例,圖3顯示選擇性沉積在基板上之SiO2 膜之橫剖面穿透式電子顯微鏡(TEM)影像。
根據本發明之一實施例,圖4顯示沉積在基板上之SiO2 膜之橫剖面TEM影像。
100‧‧‧基板
102‧‧‧氧化物層
104‧‧‧氮化物層
106‧‧‧閘極接觸層
108‧‧‧頂蓋層
110‧‧‧介電層
112‧‧‧源極/汲極層
114‧‧‧含金屬層
118‧‧‧催化層
120‧‧‧介電層表面
124‧‧‧含金屬表面
130‧‧‧SiO2

Claims (18)

  1. 一種基板處理方法,包含:提供一平坦化基板,該平坦化基板包含一介電層表面及一含金屬表面;以一含金屬催化層覆蓋該介電層表面;及使該平坦化基板暴露至包含一矽烷醇氣體之一處理氣體一時間期間,以選擇性地沉積一SiO2層在該介電層表面上之該含金屬催化層上,其中使該平坦化基板暴露至包含該矽烷醇氣體之該處理氣體之實施,係不存在任何氧化及水解劑及在大約150℃或較低之基板溫度下。
  2. 如申請專利範圍第1項之基板處理方法,更包含:沉積一蝕刻停止層在該SiO2層上及在該含金屬表面上。
  3. 如申請專利範圍第2項之基板處理方法,更包含:沉積一層間介電層在該平坦化基板上;在該層間介電層中蝕刻一凹陷特徵部及停止在該含金屬表面上之該蝕刻停止層上;及以一金屬填充該凹陷特徵部。
  4. 如申請專利範圍第2項之基板處理方法,其中該蝕刻停止層包含Al2O3
  5. 如申請專利範圍第1項之基板處理方法,其中該SiO2層形成一凸起SiO2特徵部與該含金屬表面相鄰。
  6. 如申請專利範圍第1項之基板處理方法,其中該矽烷醇氣體係選自於由三(叔-戊氧基)矽烷醇(tris(tert-pentoxy)silanol)、三(叔-丁氧基)矽烷醇(tris(tert-butoxy)silanol)、及雙(叔-丁氧基)(異丙氧基)矽烷醇(bis(tert-butoxy)(isopropoxy)silanol)所組成之群組。
  7. 如申請專利範圍第1項之基板處理方法,其中在該暴露期間,該基板溫度係大約100℃或較低。
  8. 如申請專利範圍第1項之基板處理方法,其中該處理氣體由一矽烷醇氣體及一惰性氣體所組成。
  9. 如申請專利範圍第1項之基板處理方法,其中該SiO2層係在自我限制處理(self-limiting process)中沉積在該含金屬催化層上。
  10. 如申請專利範圍第9項之基板處理方法,其中該SiO2層之厚度係約5nm。
  11. 如申請專利範圍第1項之基板處理方法,其中該暴露更包含:使該平坦化基板暴露至包含該矽烷醇氣體之該處理氣體一額外時間期間,以沉積一較薄的額外SiO2層在該含金屬表面上;及在一蝕刻處理中從該含金屬表面去除該額外SiO2層。
  12. 如申請專利範圍第11項之基板處理方法,更包含:重覆該等覆蓋、暴露及去除步驟至少一次,以增加在該介電層表面上之該SiO2層之厚度。
  13. 一種基板處理方法,包含:提供一平坦化基板,該平坦化基板包含一介電層表面及一含金屬表面;以一含金屬催化層覆蓋該介電層表面;使該平坦化基板暴露至包含一矽烷醇氣體之一處理氣體一時間期間,相較於該含金屬表面而選擇性地沉積一SiO2層在該介電層表面上,其中該暴露之實施係不存在任何氧化及水解劑及在大約150℃或較低之基板溫度下;沉積一蝕刻停止層在該SiO2層上及在該含金屬表面上;沉積一層間介電層在該平坦化基板上; 在該層間介電層中蝕刻一凹陷特徵部及停止在該含金屬表面上之該蝕刻停止層上;及以一金屬填充該凹陷特徵部。
  14. 如申請專利範圍第13項之基板處理方法,其中該蝕刻停止層包含Al2O3
  15. 如申請專利範圍第13項之基板處理方法,其中該SiO2層形成一凸起SiO2特徵部與該含金屬表面相鄰。
  16. 一種基板處理方法,包含:提供一平坦化基板,該平坦化基板包含一介電層表面及一含金屬表面;以一第一含金屬催化層覆蓋該介電層表面;使該平坦化基板暴露至包含一矽烷醇氣體之一處理氣體一時間期間,以沉積一SiO2層在該介電層表面上及一較薄的額外SiO2層在該含金屬表面上,其中該暴露之實施係不存在任何氧化及水解劑及在大約150℃或較低之基板溫度下;在一蝕刻處理中從該含金屬表面去除該額外SiO2層;及重覆該等覆蓋、暴露及去除步驟至少一次,以增加在該介電層表面上之該SiO2層之厚度。
  17. 如申請專利範圍第16項之基板處理方法,其中該蝕刻停止層包含Al2O3
  18. 如申請專利範圍第16項之基板處理方法,其中該SiO2層形成一凸起SiO2特徵部與該含金屬表面相鄰。
TW107105503A 2017-02-14 2018-02-14 使用選擇性二氧化矽沉積以形成自我對準接觸窗的方法 TWI764986B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762458858P 2017-02-14 2017-02-14
US62/458,858 2017-02-14

Publications (2)

Publication Number Publication Date
TW201841215A TW201841215A (zh) 2018-11-16
TWI764986B true TWI764986B (zh) 2022-05-21

Family

ID=63105410

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107105503A TWI764986B (zh) 2017-02-14 2018-02-14 使用選擇性二氧化矽沉積以形成自我對準接觸窗的方法

Country Status (4)

Country Link
US (1) US10453749B2 (zh)
JP (1) JP7097713B2 (zh)
KR (1) KR102445015B1 (zh)
TW (1) TWI764986B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10847363B2 (en) 2017-11-20 2020-11-24 Tokyo Electron Limited Method of selective deposition for forming fully self-aligned vias
US10957579B2 (en) 2018-11-06 2021-03-23 Samsung Electronics Co., Ltd. Integrated circuit devices including a via and methods of forming the same
CN110010460B (zh) * 2019-03-26 2021-03-16 贵阳学院 一种低维材料形成方法
TWI801614B (zh) * 2019-06-21 2023-05-11 聯華電子股份有限公司 半導體元件及其製作方法
KR20210024384A (ko) 2019-08-23 2021-03-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11227792B2 (en) * 2019-09-19 2022-01-18 International Business Machines Corporation Interconnect structures including self aligned vias
US11361989B2 (en) * 2020-02-11 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing interconnect structures including air gaps
WO2022169934A1 (en) * 2021-02-08 2022-08-11 Tokyo Electron Limited Liquid phase conformal silicon oxide spin-on deposition
US11482454B2 (en) 2021-02-17 2022-10-25 Tokyo Electron Limited Methods for forming self-aligned contacts using spin-on silicon carbide
US11756790B2 (en) 2021-03-09 2023-09-12 Tokyo Electron Limited Method for patterning a dielectric layer

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030173671A1 (en) * 2002-03-13 2003-09-18 Nec Corporation Semiconductor device and manufacturing method for the same
US7271112B1 (en) * 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US20080111239A1 (en) * 2006-11-15 2008-05-15 International Business Machines Corporation Interconnect structure having enhanced electromigration reliabilty and a method of fabricating same

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0362571A3 (en) * 1988-10-07 1990-11-28 International Business Machines Corporation Method for forming semiconductor components
JP3469251B2 (ja) * 1990-02-14 2003-11-25 株式会社東芝 半導体装置の製造方法
US7294593B2 (en) 2002-11-21 2007-11-13 Kimberly-Clark Worldwide, Inc. Absorbent article material with elastomeric borders
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
JP2010225899A (ja) 2009-03-24 2010-10-07 Elpida Memory Inc 半導体装置の製造方法
JP2013080861A (ja) 2011-10-05 2013-05-02 Toshiba Corp 半導体装置
US9419097B2 (en) 2014-11-24 2016-08-16 International Business Machines Corporation Replacement metal gate dielectric cap
US20170092533A1 (en) 2015-09-29 2017-03-30 Applied Materials, Inc. Selective silicon dioxide deposition using phosphonic acid self assembled monolayers as nucleation inhibitor
US10049913B2 (en) 2016-04-12 2018-08-14 Tokyo Electron Limited Methods for SiO2 filling of fine recessed features and selective SiO2 deposition on catalytic surfaces
KR20170135115A (ko) * 2016-05-30 2017-12-08 삼성전자주식회사 반도체 장치 및 그 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20030173671A1 (en) * 2002-03-13 2003-09-18 Nec Corporation Semiconductor device and manufacturing method for the same
US7271112B1 (en) * 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US20080111239A1 (en) * 2006-11-15 2008-05-15 International Business Machines Corporation Interconnect structure having enhanced electromigration reliabilty and a method of fabricating same

Also Published As

Publication number Publication date
TW201841215A (zh) 2018-11-16
US20180233407A1 (en) 2018-08-16
JP7097713B2 (ja) 2022-07-08
KR20180093833A (ko) 2018-08-22
JP2018133568A (ja) 2018-08-23
US10453749B2 (en) 2019-10-22
KR102445015B1 (ko) 2022-09-19

Similar Documents

Publication Publication Date Title
TWI764986B (zh) 使用選擇性二氧化矽沉積以形成自我對準接觸窗的方法
TWI694501B (zh) 防止銅擴散的介電/金屬阻障集成
KR102545880B1 (ko) 유전체 기판 상에서의 유전체 물질의 선택적인 수직 성장 방법
US20070004230A1 (en) Post polish anneal of atomic layer deposition barrier layers
US7670946B2 (en) Methods to eliminate contact plug sidewall slit
JP2008532271A (ja) 原子層堆積のための表面のプラズマ前処理
JP2003152077A (ja) 半導体装置および半導体装置の製造方法
US7701004B2 (en) Semiconductor device and method of manufacturing thereof
KR20050037797A (ko) 반도체 소자의 금속배선 형성방법
TW200303599A (en) Manufacturing method of semiconductor device
US11615984B2 (en) Method of dielectric material fill and treatment
KR102545882B1 (ko) 역행 프로파일들을 갖는 리세스된 피처들을 보이드 없이 충전하는 방법
JP2023103303A (ja) 高度なコンタクトにおけるキャップ層形成のためのエリア選択的堆積
US11139205B2 (en) Self-aligned subtractive interconnect patterning
JP2002057125A (ja) 金属配線形成方法
KR100370143B1 (ko) 반도체 소자의 콘택 플러그 형성 방법
JP2009094477A (ja) 半導体素子の金属配線形成方法
US7112529B2 (en) Method of improving residue and thermal characteristics of semiconductor device
JP2015133382A (ja) 半導体装置の製造方法
TW202306158A (zh) 半導體裝置結構及其形成方法
KR20030002137A (ko) 구리를 사용한 대머신 금속배선 형성 방법
JPH10172924A (ja) 半導体装置の製造方法
KR20040081971A (ko) 반도체 소자의 게이트 패턴 및 그 형성방법
JP2008153407A (ja) 半導体装置及びその製造方法
JPH08264539A (ja) 配線構造、半導体装置及び半導体装置の製造方法