KR102445015B1 - 선택적 SiO2 퇴적을 사용하여 자기 정렬된 콘택을 형성하는 방법 - Google Patents

선택적 SiO2 퇴적을 사용하여 자기 정렬된 콘택을 형성하는 방법 Download PDF

Info

Publication number
KR102445015B1
KR102445015B1 KR1020180018268A KR20180018268A KR102445015B1 KR 102445015 B1 KR102445015 B1 KR 102445015B1 KR 1020180018268 A KR1020180018268 A KR 1020180018268A KR 20180018268 A KR20180018268 A KR 20180018268A KR 102445015 B1 KR102445015 B1 KR 102445015B1
Authority
KR
South Korea
Prior art keywords
metal
layer
sio
dielectric layer
substrate
Prior art date
Application number
KR1020180018268A
Other languages
English (en)
Other versions
KR20180093833A (ko
Inventor
칸다바라 엔 타필리
상철 한
수 두 채
Original Assignee
도쿄엘렉트론가부시키가이샤
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 도쿄엘렉트론가부시키가이샤 filed Critical 도쿄엘렉트론가부시키가이샤
Publication of KR20180093833A publication Critical patent/KR20180093833A/ko
Application granted granted Critical
Publication of KR102445015B1 publication Critical patent/KR102445015B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02205Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition
    • H01L21/02208Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si
    • H01L21/02214Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen
    • H01L21/02216Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being characterised by the precursor material for deposition the precursor containing a compound comprising Si the compound comprising silicon and oxygen the compound being a molecule comprising at least one silicon-oxygen bond and the compound having hydrogen or an organic group attached to the silicon or oxygen, e.g. a siloxane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02304Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment formation of intermediate layers, e.g. buffer layers, layers to improve adhesion, lattice match or diffusion barriers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76819Smoothing of the dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/5329Insulating materials
    • H01L23/53295Stacked insulating layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Spectroscopy & Molecular Physics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

선택적 SiO2 퇴적을 사용하여 자기 정렬된 콘택을 형성하는 기판 처리 방법이 다양한 실시예에서 기재된다. 방법은, 유전체 층 표면 및 금속 함유 표면을 포함하는 평탄화된 기판을 제공하는 단계, 금속 함유 촉매 층으로 유전체 층 표면을 코팅하는 단계, 및 유전체 층 표면 상의 금속 함유 촉매 층 상에 SiO2 층을 선택적으로 퇴적하는 기간 동안 실라놀 가스를 함유하는 공정 가스에 평탄화된 기판을 노출시키는 단계를 포함한다. 하나의 실시예에 따르면, 방법은, SiO2 층 상에 그리고 금속 함유 표면 상에 에칭 정지 층을 퇴적하는 단계, 평탄화된 기판 상에 층간 유전체 층을 퇴적하는 단계, 층간 유전체 층에 리세스된 특징부를 에칭하며 금속 함유 표면 위의 에칭 정지 층 상에서 정지하는 단계, 및 리세스된 특징부를 금속으로 충진하는 단계를 더 포함한다 .

Description

선택적 SiO2 퇴적을 사용하여 자기 정렬된 콘택을 형성하는 방법{METHOD OF FORMING A SELF-ALIGNED CONTACT USING SELECTIVE SiO2 DEPOSITION}
본 출원은 2017년 2월 14일 제출된 미국 가특허 출원 번호 제62/458,858호에 관련된 것으로 이의 우선권을 주장하며, 이 출원의 전체 내용은 참조에 의해 여기에 포함된다.
본 발명은 기판을 처리하는 방법에 관한 것으로, 보다 상세하게는 선택적 SiO2 퇴적(deposition)을 사용하여 자기 정렬된(self-aligned) 콘택을 형성하기 위한 방법에 관한 것이다.
금속 산화물 반도체 전계 효과 트랜지스터(MOSFET; metal-oxide-semiconductor)와 같은 MOS 트랜지스터가 일반적으로 집적회로의 제조에 사용되고 있다. MOS 트랜지스터는 게이트 전극, 게이트 유전체 층, 스페이서, 그리고 소스 및 드레인 확산 영역과 같은 여러 컴포넌트들을 포함한다. 층간 유전체(ILD; interlayer dielectric)가 통상적으로 MOS 트랜지스터 위에 형성되고 확산 영역을 덮는다.
통상적으로 텅스텐과 같은 금속으로 형성되는 콘택 플러그에 의해 MOS 트랜지스터에 대한 전기 접속이 이루어진다. 콘택 플러그는 확산 영역까지 아래로 비아를 형성하도록 ILD 층을 먼저 패터닝함으로써 제조된다. 패터닝 프로세스는 일반적으로 포토리소그래피 프로세스이다. 다음으로, 콘택 플러그를 형성하도록 비아에 금속이 퇴적된다. 동일하거나 유사한 프로세스를 사용하여 게이트 전극까지 아래로 별개의 콘택 플러그가 형성된다.
콘택 플러그의 제조 동안 일어날 수 있는 하나의 문제는 콘택-게이트 단락(short)의 형성이다. 콘택-게이트 단락은, 콘택 플러그가 오정렬되어 게이트 전극과 전기적 접촉하게 될 때 생기는 단락 회로이다. 콘택-게이트 단락을 막기 위한 하나의 종래 접근은 레지스트레이션(registration) 및 임계 치수(CD; critical dimension)을 제어함으로써 이루어진다. 그러나, 작은 게이트 피치를 갖는 트랜지스터의 경우, 게이트 및 콘택 치수에 대한 엄격한 CD 제어는 제조가능한 프로세스 윈도우를 제한한다. 따라서, 게이트에 대한 콘택 단락의 가능성이 매우 높다. 이 문제는, 임계 치수가 훨씬 더 작아지기 때문에 트랜지스터 게이트 피치 치수가 더욱 스케일 다운됨에 따라 보다 두드러지게 된다.
EUV 도입 후에도 비용 효율적인 스케일링이 지속될 수 있도록 자기 정렬된 패터닝이 오버레이-기반의 패터닝을 교체할 필요가 있다. 박막의 선택적 퇴적은 고도의 스케일링 기술 노드를 패터닝하는데 있어서 핵심 단계이다.
선택적 SiO2 퇴적을 사용하여 자기 정렬된 콘택을 형성하는 방법이 다양한 실시예에서 기재된다. 하나의 실시예에 따르면, 방법은, 유전체 층 표면 및 금속 함유 표면을 포함하는 평탄화된 기판을 제공하는 단계, 금속 함유 촉매 층으로 상기 유전체 층 표면을 코팅하는 단계, 및 상기 유전체 층 표면 상의 상기 금속 함유 촉매 층 상에 SiO2 층을 선택적으로 퇴적하는 기간 동안 실라놀(silanol) 가스를 함유하는 공정 가스에 상기 평탄화된 기판을 노출시키는 단계를 포함한다.
하나의 실시예에 따르면, 방법은, 상기 SiO2 층 상에 그리고 상기 금속 함유 표면 상에 에칭 정지 층을 퇴적하는 단계, 상기 평탄화된 기판 상에 층간 유전체 층을 퇴적하는 단계, 상기 층간 유전체 층에 리세스된 특징부를 에칭하며 상기 금속 함유 표면 위의 상기 에칭 정지 층 상에서 정지하는 단계, 및 상기 리세스된 특징부를 금속으로 충진하는 단계를 더 포함한다 .
하나의 실시예에 따르면, 방법은, 유전체 층 표면 및 금속 함유 표면을 포함하는 평탄화된 기판을 제공하는 단계, 제1 금속 함유 촉매 층으로 상기 유전체 층 표면을 코팅하는 단계, 및 상기 유전체 층 표면 상에 SiO2 층을 그리고 상기 금속 함유 표면 상에 더 얇은 추가의 SiO2 층을 퇴적하는 기간 동안 실라놀 가스를 함유하는 공정 가스에 상기 평탄화된 기판을 노출시키는 단계를 포함하며, 상기 노출은 대략 150℃ 이하의 기판 온도에서 어떠한 산화제 및 가수분해제 없이 수행된다. 방법은, 에칭 프로세스에서 상기 금속 함유 표면으로부터 상기 추가의 SiO2 층을 제거하는 단계, 및 상기 유전체 층 표면 상의 상기 SiO2 층의 두께를 증가시키기 위하여 상기 코팅하는 단계, 상기 노출시키는 단계 및 상기 제거하는 단계를 적어도 한 번 반복하는 단계를 더 포함한다.
본 명세서에 포함되어 이의 일부를 구성하는 첨부 도면은 본 발명의 실시예를 예시하며, 위에 주어진 본 발명의 일반적인 설명 및 아래에 주어진 상세한 설명과 함께 본 발명의 설명을 돕는다.
도 1a 내지 도 1f는 단면도들을 통해 본 발명의 실시예에 따라 기판을 처리하는 방법을 개략적으로 도시한다.
도 2a 내지 도 2f는 단면도들을 통해 본 발명의 실시예에 따라 기판을 처리하는 방법을 개략적으로 도시한다.
도 3은 본 발명의 실시예에 따라 기판 상에 선택적으로 퇴적된 SiO2 막의 단면 TEM(transmission electron microscope) 이미지를 도시한다.
도 4는 본 발명의 실시예에 따라 기판 상에 퇴적된 SiO2 막의 단면 TEM 이미지를 도시한다.
게이트 피치 스케일링에 의해 야기된 하나의 문제가 되는 이슈는 콘택 대 게이트 단락의 가능성이다. 이러한 콘택이 발생할 때, MOS 트랜지스터를 효과상 파괴시키는 단락이 생성된다. 단락을 감소시키는 현재 방법은 더 작은 임계 치수를 갖는 콘택의 레지스트레이션 및 패터닝을 제어하는 것을 포함한다. 하지만, 게이트 피치가 스케일 다운됨에 따라, 레지스트레이션 요건은 기존 기술로 충족하기가 매우 어렵게 되고 있다.
일 실시예에 따라, MOS 트랜지스터의 제조 동안에 콘택 대 게이트 단락의 가능성을 감소시키기 위한 방법이 설명된다. 다음 설명에서, 예증적 구현의 다양한 양상은, 당업자에 의해 공통적으로 채용되는 용어를 사용해 그 자신의 작업의 실체(substance)를 다른 당업자에 전달하기 위해 설명될 것이다. 하지만, 본 발명이 설명된 양상의 단지 일부만을 사용해 실행될 수 있다는 것이 당업자에게 명백할 것이다. 설명의 목적을 위해, 특정 수, 물질, 및 구성이 예증된 구현의 완전한 이해를 제공하도록 제시된다. 하지만, 본 발명이 특정 세부 사항이 없이 실행될 수 있다는 것이 당업자에게 명백할 것이다. 다른 예시에서, 예증적 구현을 모호하게 하지 않도록 잘 알려진 피처가 생략되고 단순화된다.
도 1a 내지 1f는 본 발명의 실시예에 따른 처리 방법을 단면도들을 통해 개략적으로 도시한다. 도 1에 도시된 예시적인 평탄화된 기판(100)은 반도체 디바이스에서 공통적으로 발견되는 다양한 물질 층을 함유하지만, 본 발명의 실시예는 더 간단하거나 더 진보된 반도체 디바이스에 적용될 수 있다. 평탄화된 기판(100)은 산화물 층(102)(예컨대, SiO2), 질화물 층(104)(예컨대, SiN), 게이트 접촉 층(106), 캡 층(108)(예컨대, SiN 또는 SiCN), 소스/드레인 층(112)(예컨대, Si 또는 SiC), 노출된 유전체 층 표면(120)을 갖는 유전체 층(110)(예컨대, SiO2), 노출된 금속 함유 표면(124)을 갖는 금속 함유 층(114)(예컨대, 트렌치 실리사이드 층: CoSi2, NiSi, 또는 MoSi2)을 함유한다. 예시적인 평탄화된 기판(100)에서, 금속 함유 층(114)은 콘택 영역의 일부일 수 있고, 게이트 산화물 층(116)은 추가적인 처리 동안에 콘택 대 게이트 단락되기 쉬운 게이트 영역의 일부분일 수 있다. 평탄화된 기판(100)은 화학 기계적 폴리싱(chemical mechanical polishing; CMP) 프로세스를 사용해 준비될 수 있다.
도 1b는 금속 함유 촉매 층(118)을 갖는 유전체 층 표면(120)의 코팅 후의 평탄화된 기판(100)을 도시한다. 유전체 층 표면(120)은, 금속 함유 표면(124) 상과 비교하여 유전체 층 표면(120) 상에 양호한 코팅 선택도를 제공하는 수산기(-OH)로 끝날 수 있다(terminate). 본 발명의 일부 실시예에 따라, 금속 함유 촉매 층(118)은 알루미늄(Al), 티타늄(Ti), 또는 알루미늄 및 티타늄을 포함할 수 있다. 일 실시예에 따라, 금속 함유 촉매 층(118)은 Al, Al2O3, AlN, AlON, Al 함유 전구체, Al 함유 함금, CuAl,TiAlN, TaAlN, Ti, TiAlC, TiO2, TiON, TiN, Ti 함유 전구체, Ti 함유 합금, 및 이것들의 조합으로 이루어진 그룹으로부터 선택될 수 있다. 금속 함유 촉매 층(118)은 평탄화된 기판(100)을 금속 함유 전구체 증기와 선택적으로 산소 함유 가스 및/또는 질소 함유 가스에 노출시킴으로써 선택될 수 있다. 일 실시예에 따라, 이 노출은, 유전체 층 표면(120) 상에 대략 하나의 단층(monolayer) 두께인 금속 함유 촉매 층(118)을 선호적으로 흡수하는 금속을 함유하는 가스 펄스에, 평탄화된 기판(100)을 노출시킴으로써 진행할 수 있다. 금속은 단층 두께보다 작은 화학 흡착된 층을 형성하도록 평탄화된 기판(200)의 표면에 대해 반응할 수 있다. 일 예시에서, 금속 함유 촉매 층(118)은 예를 들면, 트리메틸 알루미늄(TMA, AlMe3)과 같은, 흡착된 금속 함유 전구체를 포함할 수 있다.
본 발명의 실시형태는 다양한 Al 함유 전구체를 사용할 수 있다. 예를 들어, 다수의 알루미늄 전구체는 다음의 화학식을 갖는다.
AlL1L2L3Dx
여기서, L1, L2, L3은 개별 음이온성 리간드이고, D는 중성 도너 리간드이며, x는 0, 1, 또는 2일 수 있다. 각각의 L1, L2, L3 리간드는 알콕시드, 할로겐화물, 아릴옥사이드, 아미드, 시클로펜타디에닐, 알킬, 실릴, 아미디네이트(amidinate), β-디케토네이트, 케토이미네이트, 실라노에이트(silanoate), 및 카르복실레이트의 그룹으로부터 개별적으로 선택될 수 있다. D 리간드는 에테르, 퓨란, 피리딘, 피롤, 피롤리딘, 아민, 크라운 에테르, 글리민, 및 니트릴의 그룹으로부터 선택될 수 있다.
다른 알루미늄 전구체의 예는, AlMe3, AlEt3, AlMe2H, [Al(OsBu)3]4, Al(CH3COCHCOCH3)3, AlCl3, AlBr3, AlI3, Al(OiPr)3, [Al(NMe2)3]2, Al(iBu)2Cl, Al(iBu)3, Al(iBu)2H, AlEt2Cl, Et3Al2(OsBu)3, 및 Al(THD)3를 포함한다.
본 발명의 실시형태는 다양한 Ti 함유 전구체를 사용할 수 있다. 예는, Ti(NEt2)4(TDEAT), Ti(NMeEt)4(TEMAT), 및 Ti(NMe2)4(TDMAT)를 포함하는 "Ti-C" 분자 내부 결합을 갖는 Ti 함유 전구체를 포함한다. 다른 예는 Ti(COCH3)(η5-C5H5)2Cl, Ti(η5-C5H5)Cl2, Ti(η5-C5H5)Cl3, Ti(η5-C5H5)2Cl2, Ti(η5-C5(CH3)5)Cl3, Ti(CH3)(η5-C5H5)2Cl, Ti(η5-C9H7)2Cl2, Ti((η5-C5(CH3)5)2Cl, Ti((η5-C5(CH3)5)2Cl2, Ti(η5-C5H5)2(μ-Cl)2, Ti(η5-C5H5)2(CO)2, Ti(CH3)35-C5H5), Ti(CH3)25-C5H5)2, Ti(CH3)4, Ti(η5-C5H5)(η7-C7H7), Ti(η5-C5H5)(η8-C8H8), Ti(C5H5)25-C5H5)2, Ti((C5H5)2)2(η-H)2, Ti(η5-C5(CH3)5)2, Ti(η5-C5(CH3)5)2(H)2, 및 Ti(CH3)25-C5(CH3)5)2를 포함하는 "Ti-C" 분자 내부 결합을 함유한 Ti 함유 전구체를 포함한다. TiCl4는 "Ti-할로겐" 결합을 함유한 티탄 할로겐화물 전구체의 예이다.
이제 도 1c를 참조하면, 평탄화된 기판(100)을 실라놀 가스를 함유한 공정 가스에 노출시킴으로써 SiO2막(130)이 금속 함유 표면(124)에 대해 금속 함유 촉매 층(118) 상에 선택적으로 퇴적된다. 본 발명의 실시형태에 따르면, 금속 함유 촉매층(118)은 실라놀 가스부터의 SiO2막(130)의 선택적 퇴적을 촉진시키고, 이 촉진 효과는 퇴적된 SiO2막(130)이 약 5 nm 두께가 될 때까지 관찰된다. 공정 가스에 대한 노출은 금속 함유 표면(124) 상에 상당한 SiO2막(130)의 퇴적을 초래하지 않는 시간 동안 수행될 수 있다. 본 발명의 실시형태에 따르면, 평탄화된 기판(100)은 임의의 산화제 및 가수분해제가 없는 실라놀 가스를 함유한 공정 가스에 노출된다. 일부 실실시형태에 따르면, 실라놀 가스는 tris(tert-pentoxy) silanol(TPSOL), tris(tert-butoxy) silanol, 및 bis(tert-butoxy)(isopropoxy) silanol로 이루어진 그룹으로부터 선택될 수 있다.
본 발명자들은 산화제 및 가수 분해제가 SiO2막 퇴적에 필요하지 않음을 발견했다. 일부 예에서, 공정 가스는 아르곤과 같은 불활성 가스를 더 함유할 수도 있다. 일 실시형태에 있어서, 공정 가스는 실라놀 가스 및 불활성 가스로 이루어질 수도 있다. 또한, 일 실시형태에 따르면, 기판 온도는 노출 중에 약 150 ℃ 이하일 수 있다. 다른 실시형태에 있어서, 기판 온도는 약 120 ℃ 이하일 수도 있다. 또 다른 실시형태에 있어서, 기판 온도는 약 100 ℃ 이하일 수도 있다.
도 1d는 SiO2 막(130) 상의 그리고 금속 함유 표면(124) 상의 에칭 정지 층(140)의 퇴적 이후의 평탄화된 기판(100)을 도시한다. 에칭 정지 층(140)은 예를 들어, Al2O3를 포함할 수 있다.
평탄화된 기판(100)의 추가 프로세싱은 층간 유전체 층(IDL, interlayer dielectric layer)(150)의 퇴적, IDL(150) 내의 특징부들의 에칭, 및 에칭 정지 층(140) 상의 정지를 포함할 수 있다. 그 후, 배리어 층(158)이 특징부에 퇴적되고, 특징부는 금속으로 충진된다. 금속 충진된 특징부(160)는 일 예로 텅스텐(W), 루테늄(Ru), 구리(Cu), 또는 코발트(Co)를 포함할 수 있다. 도 1e에 개략적으로 도시된 바와 같이, 금속 충진된 특징부(160)는 하부 금속 함유 층(114) 및 게이트 산화물 층(116)에 맞춰 정렬되지 않을 수 있다. 이것은 금속 충진된 특징부(160) 각각 사이의 간격을 증가시키기 위한 의도적인 오정렬 또는 오버레이 에러로 인한 의도하지 않은 오정렬에 기인할 수 있다. 본 발명자들은 유전체 층(110) 위에 선택적으로 퇴적된 SiO2 막(130)이 금속 충진된 특징부(160)에 의해 금속 함유 층(114) 및 게이트 산화물 층(116)을 단락시킬 확률을 크게 감소시킨다는 것을 깨달았다.
도 1f는 금속 충진된 특징부(160) 내의 홀들의 에칭과 홀들 내의 배리어 층(168) 및 금속 막(170)의 퇴적을 포함하는, 배리어 평탄회된 기판(100)의 추가 프로세싱을 추가로 도시한다. 일예에서, 금속 막(170)은 구리(Cu)를 포함할 수 있다.
도 2a-2f는 발명의 실시예에 따른 기판의 프로세싱 방법을 단면도를 통해 개략적으로 보여준다. 도 1b의 평탄화된 기판(100)은 도 2a에 평탄화된 기판(200)으로서 재현되었다. 도 2a는 금속 함유 촉매 층(118)을 이용한 유전체 층 표면(120)의 코팅 이후의 평탄화된 기판(200)을 도시한다. 유전체 층 표면(120)은 금속 함유 표면(124)과 비교하여 유전체 층 표면(120)에 우수한 코팅 선택도를 제공하는 수산기(-OH)로 종결될 수 있다.
이제 도 2b를 참고하면, SiO2 막(130)이 금속 함유 촉매 층(118) 상에 퇴적되고, 실라놀 가스를 함유하는 프로세스 가스에 평탄화된 기판(200)을 노출시킴으로써 금속 함유 표면(124) 상에 더 얇은 SiO2 막(132)이 퇴적된다. 프로세스 가스에 대한 노출은 도 1c의 선택적 퇴적보다 더 길 수 있어, 금속 함유 표면(124) 상에 더 얇은 SiO2 막(132)의 선택도 및 퇴적의 손실을 초래한다.
금속 함유 표면(124) 상의 원치 않는 SiO2 막(132)은 예를 들어, 화학적 산화물 제거(COR, chemical oxide removal) 프로세스를 사용하여 또는 희석 플루오르화 수소(DHF, dilute hydrogen fluoride) 용액을 사용하여 에칭 프로세스에서 제거될 수 있다. SiO2 막(132)의 제거 동안 SiO2 막(130)의 약간의 씨닝이 발생할 수 있다. 결과적인 평탄화된 기판(200)은 도 2c에 도시된다.
금속 함유 촉매 층(118)을 이용한 유전체 층 표면(120)의 코팅, 실라놀 가스를 함유한 프로세스 가스로의 노출을 사용하는 SiO2 퇴적 프로세스, 및 에칭 프로세스는 SiO2 막(130)의 두께를 증가시키기 위해 적어도 한번 반복될 수 있다. 도 2d는 프로세스를 7번 반복하고 SiO2 막(130) 내에 자기 정렬된 리세스된 특징부(142)를 형성한 이후의 평탄화된 기판(200)을 도시한다.
평탄화된 기판(200)의 추가의 공정이 도 2e에 도시되고, 리세스된 특징부(142) 내에 배리어 층(164)을 퇴적하는 단계, 및 리세스된 특징부(140)를 금속(162)으로 충진하는 단계를 포함한다. 금속(162)은 예를 들어 텅스텐(W), 코발트(Co), 루테늄(Ru), 또는 알루미늄(Al)을 포함할 수 있다.
도 2f는 금속(162)에서의 홀의 에칭 및 홀에서의 배리어 층(174) 및 금속 막(172)의 퇴적을 포함하는 평탄화된 기판(200)의 추가 공정이 도시된다. 금속 막(170)은 예를 들어 텅스텐(W), 코발트(Co), 루테늄(Ru) 또는 알루미늄(Al)을 포함할 수 있다.
도 3은 평탄화된 기판(30)의 단면 TEM 이미지를 도시한다. SiO2 막(301)은 TaN 배리어 층(304) 및 W 금속 플러그(302)에 대하여 SiO2 층(300) 상에 선택적으로 퇴적되었다. SiO2 퇴적은 W 금속 플러그(302) 상에 관찰되지 않았다. SiO2 퇴적은 TMA의 6초 노출에 후속하여 TPSOL의 60초 노출을 사용하여 수행되었다.
도 4는 평탄화된 기판(40)의 단면 TEM 이미지를 도시한다. SiO2 층(400) 상에 SiO2 막(401)이 퇴적되었고, TaN 배리어 층(404) 및 W 금속 플러그(402) 상에 얇은 SiO2 막(403)이 퇴적되었다. SiO2 막(401)은 약 8.2nm의 두께를 가지고, SiO2 막(403)은 W 금속 플러그(402) 상에 약 2.8nm의 두께를 가진다. SiO2 퇴적은 6 퇴적 사이클을 이용하여 수행되었고, 각 사이클은 TMA의 6초 노광에 후속하여 TPSOL의 60초 노출을 포함한다.
선택적 SiO2 퇴적을 사용하여 자기 정렬된 콘택을 형성하기 위한 기판 처리 방법에 대한 복수의 실시예가 설명되었다. 본 발명은 하나 이상의 실시예에 대한 설명에 의해 예시되었으며, 실시예가 상당히 상세하게 설명되었지만, 그러한 세부 사항으로 첨부된 청구항의 범위를 제약하거나 어떤 방식으로 한정하도록 의도하지 않는다. 추가적인 이점들 및 수정들이 당업자에게 쉽게 나타날 것이다. 따라서,보다 넓은 관점에서의 본 발명은 도시되고 설명된 특정 세부 사항, 대표적인 장치 및 방법, 및 예시적인 예들에 한정되지 않는다. 따라서, 일반적인 본 발명 개념의 범주로부터 벗어나지 않는 그러한 세부 사항으로부터의 벗어남은 이루어질 수 있다.

Claims (20)

  1. 기판 처리 방법에 있어서,
    유전체 층 표면 및 금속 함유 표면을 포함하는 평탄화된 기판을 제공하는 단계;
    금속 함유 촉매 층으로 상기 유전체 층 표면을 코팅하는 단계; 및
    상기 유전체 층 표면 상의 상기 금속 함유 촉매 층 상에 SiO2 층을 선택적으로 퇴적하는 기간 동안 실라놀(silanol) 가스를 함유하는 공정 가스에 상기 평탄화된 기판을 노출시키는 단계
    를 포함하고,
    상기 실라놀 가스를 함유하는 공정 가스에 상기 평탄화된 기판을 노출시키는 단계는, 150℃ 이하의 기판 온도에서 어떠한 산화제 및 가수분해제 없이 수행되는 것인, 기판 처리 방법.
  2. 삭제
  3. 청구항 1에 있어서,
    상기 SiO2 층 상에 그리고 상기 금속 함유 표면 상에 에칭 정지 층을 퇴적하는 단계를 더 포함하는 기판 처리 방법.
  4. 청구항 3에 있어서,
    상기 평탄화된 기판 상에 층간 유전체 층을 퇴적하는 단계;
    상기 층간 유전체 층에 리세스된 특징부(recessed feature)를 에칭하며 상기 금속 함유 표면 위의 상기 에칭 정지 층 상에서 정지하는 단계; 및
    상기 리세스된 특징부를 금속으로 충진하는 단계를 더 포함하는 기판 처리 방법.
  5. 청구항 3에 있어서, 상기 에칭 정지 층은 Al2O3를 포함하는 것인 기판 처리 방법.
  6. 청구항 1에 있어서, 상기 SiO2 층은 상기 금속 함유 표면에 인접하게 상승된(raised) SiO2 특징부를 형성하는 것인 기판 처리 방법.
  7. 청구항 1에 있어서, 상기 실라놀 가스는, tris(tert-pentoxy) silanol, tris(tert-butoxy) silanol, 및 bis(tert-butoxy)(isopropoxy) silanol을 포함하는 그룹으로부터 선택되는 것인 기판 처리 방법.
  8. 삭제
  9. 청구항 1에 있어서, 상기 노출시키는 단계 동안, 상기 기판 온도는 100℃ 이하인 것인 기판 처리 방법.
  10. 청구항 1에 있어서, 상기 공정 가스는 실라놀 가스와 비활성 가스로 구성되는 것인 기판 처리 방법.
  11. 청구항 1에 있어서, 상기 SiO2 층은 자기 제어(self-limiting) 프로세스로 상기 금속 함유 촉매 층 상에 퇴적되는 것인 기판 처리 방법.
  12. 청구항 11에 있어서, 상기 SiO2 층의 두께는 5nm인 것인 기판 처리 방법.
  13. 청구항 1에 있어서,
    상기 노출시키는 단계는,
    상기 금속 함유 표면 상에 더 얇은 추가의 SiO2 층을 퇴적하는 추가의 기간 동안 실라놀 가스를 함유하는 공정 가스에 상기 평탄화된 기판을 노출시키는 단계; 및
    에칭 프로세스에서 상기 금속 함유 표면으로부터 상기 추가의 SiO2 층을 제거하는 단계
    를 더 포함하는 것인 기판 처리 방법.
  14. 청구항 13에 있어서,
    상기 유전체 층 표면 상의 상기 SiO2 층의 두께를 증가시키기 위하여 상기 코팅하는 단계, 상기 노출시키는 단계 및 상기 제거하는 단계를 적어도 한 번 반복하는 단계를 더 포함하는 기판 처리 방법.
  15. 기판 처리 방법에 있어서,
    유전체 층 표면 및 금속 함유 표면을 포함하는 평탄화된 기판을 제공하는 단계;
    금속 함유 촉매 층으로 상기 유전체 층 표면을 코팅하는 단계;
    상기 금속 함유 표면에 대한 상기 유전체 층 표면 상에 SiO2 층을 선택적으로 퇴적하는 기간 동안 실라놀 가스를 함유하는 공정 가스에 상기 평탄화된 기판을 노출시키는 단계로서, 상기 노출은 150℃ 이하의 기판 온도에서 어떠한 산화제 및 가수분해제 없이 수행되는 것인, 상기 노출시키는 단계;
    상기 SiO2 층 상에 그리고 상기 금속 함유 표면 상에 에칭 정지 층을 퇴적하는 단계;
    상기 평탄화된 기판 상에 층간 유전체 층을 퇴적하는 단계;
    상기 층간 유전체 층에 리세스된 특징부를 에칭하며 상기 금속 함유 표면 위의 상기 에칭 정지 층 상에서 정지하는 단계; 및
    상기 리세스된 특징부를 금속으로 충진하는 단계를 포함하는 기판 처리 방법.
  16. 청구항 15에 있어서, 상기 에칭 정지 층은 Al2O3를 포함하는 것인 기판 처리 방법.
  17. 청구항 15에 있어서, 상기 SiO2 층은 상기 금속 함유 표면에 인접하게 상승된 SiO2 특징부를 형성하는 것인 기판 처리 방법.
  18. 기판 처리 방법에 있어서,
    유전체 층 표면 및 금속 함유 표면을 포함하는 평탄화된 기판을 제공하는 단계;
    제1 금속 함유 촉매 층으로 상기 유전체 층 표면을 코팅하는 단계;
    상기 유전체 층 표면 상에 SiO2 층을 그리고 상기 금속 함유 표면 상에 더 얇은 추가의 SiO2 층을 퇴적하는 기간 동안 실라놀 가스를 함유하는 공정 가스에 상기 평탄화된 기판을 노출시키는 단계로서, 상기 노출은 150℃ 이하의 기판 온도에서 어떠한 산화제 및 가수분해제 없이 수행되는 것인, 상기 노출시키는 단계;
    에칭 프로세스에서 상기 금속 함유 표면으로부터 상기 추가의 SiO2 층을 제거하는 단계; 및
    상기 유전체 층 표면 상의 상기 SiO2 층의 두께를 증가시키기 위하여 상기 코팅하는 단계, 상기 노출시키는 단계 및 상기 제거하는 단계를 적어도 한 번 반복하는 단계를 포함하는 기판 처리 방법.
  19. 청구항 18에 있어서, 상기 SiO2 층은 상기 금속 함유 표면에 인접하게 상승된 SiO2 특징부를 형성하는 것인 기판 처리 방법.
  20. 삭제
KR1020180018268A 2017-02-14 2018-02-14 선택적 SiO2 퇴적을 사용하여 자기 정렬된 콘택을 형성하는 방법 KR102445015B1 (ko)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201762458858P 2017-02-14 2017-02-14
US62/458,858 2017-02-14

Publications (2)

Publication Number Publication Date
KR20180093833A KR20180093833A (ko) 2018-08-22
KR102445015B1 true KR102445015B1 (ko) 2022-09-19

Family

ID=63105410

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020180018268A KR102445015B1 (ko) 2017-02-14 2018-02-14 선택적 SiO2 퇴적을 사용하여 자기 정렬된 콘택을 형성하는 방법

Country Status (4)

Country Link
US (1) US10453749B2 (ko)
JP (1) JP7097713B2 (ko)
KR (1) KR102445015B1 (ko)
TW (1) TWI764986B (ko)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10586734B2 (en) 2017-11-20 2020-03-10 Tokyo Electron Limited Method of selective film deposition for forming fully self-aligned vias
US10957579B2 (en) 2018-11-06 2021-03-23 Samsung Electronics Co., Ltd. Integrated circuit devices including a via and methods of forming the same
CN110010460B (zh) * 2019-03-26 2021-03-16 贵阳学院 一种低维材料形成方法
TWI801614B (zh) * 2019-06-21 2023-05-11 聯華電子股份有限公司 半導體元件及其製作方法
KR20210024384A (ko) 2019-08-23 2021-03-05 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11227792B2 (en) * 2019-09-19 2022-01-18 International Business Machines Corporation Interconnect structures including self aligned vias
WO2022169934A1 (en) * 2021-02-08 2022-08-11 Tokyo Electron Limited Liquid phase conformal silicon oxide spin-on deposition
US11482454B2 (en) * 2021-02-17 2022-10-25 Tokyo Electron Limited Methods for forming self-aligned contacts using spin-on silicon carbide
US11756790B2 (en) 2021-03-09 2023-09-12 Tokyo Electron Limited Method for patterning a dielectric layer

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010225899A (ja) 2009-03-24 2010-10-07 Elpida Memory Inc 半導体装置の製造方法
JP2013080861A (ja) 2011-10-05 2013-05-02 Toshiba Corp 半導体装置
US20160293731A1 (en) 2014-11-24 2016-10-06 International Business Machines Corporation Replacement metal gate dielectric cap

Family Cites Families (12)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP0362571A3 (en) * 1988-10-07 1990-11-28 International Business Machines Corporation Method for forming semiconductor components
JP3469251B2 (ja) * 1990-02-14 2003-11-25 株式会社東芝 半導体装置の製造方法
JP4063619B2 (ja) * 2002-03-13 2008-03-19 Necエレクトロニクス株式会社 半導体装置の製造方法
US7294593B2 (en) 2002-11-21 2007-11-13 Kimberly-Clark Worldwide, Inc. Absorbent article material with elastomeric borders
US6867152B1 (en) 2003-09-26 2005-03-15 Novellus Systems, Inc. Properties of a silica thin film produced by a rapid vapor deposition (RVD) process
US8158488B2 (en) 2004-08-31 2012-04-17 Micron Technology, Inc. Method of increasing deposition rate of silicon dioxide on a catalyst
US7271112B1 (en) * 2004-12-30 2007-09-18 Novellus Systems, Inc. Methods for forming high density, conformal, silica nanolaminate films via pulsed deposition layer in structures of confined geometry
US7625820B1 (en) 2006-06-21 2009-12-01 Novellus Systems, Inc. Method of selective coverage of high aspect ratio structures with a conformal film
US7569475B2 (en) * 2006-11-15 2009-08-04 International Business Machines Corporation Interconnect structure having enhanced electromigration reliability and a method of fabricating same
US20170092533A1 (en) 2015-09-29 2017-03-30 Applied Materials, Inc. Selective silicon dioxide deposition using phosphonic acid self assembled monolayers as nucleation inhibitor
US10049913B2 (en) 2016-04-12 2018-08-14 Tokyo Electron Limited Methods for SiO2 filling of fine recessed features and selective SiO2 deposition on catalytic surfaces
KR20170135115A (ko) * 2016-05-30 2017-12-08 삼성전자주식회사 반도체 장치 및 그 제조 방법

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2010225899A (ja) 2009-03-24 2010-10-07 Elpida Memory Inc 半導体装置の製造方法
JP2013080861A (ja) 2011-10-05 2013-05-02 Toshiba Corp 半導体装置
US20160293731A1 (en) 2014-11-24 2016-10-06 International Business Machines Corporation Replacement metal gate dielectric cap

Also Published As

Publication number Publication date
KR20180093833A (ko) 2018-08-22
TWI764986B (zh) 2022-05-21
US10453749B2 (en) 2019-10-22
JP2018133568A (ja) 2018-08-23
TW201841215A (zh) 2018-11-16
JP7097713B2 (ja) 2022-07-08
US20180233407A1 (en) 2018-08-16

Similar Documents

Publication Publication Date Title
KR102445015B1 (ko) 선택적 SiO2 퇴적을 사용하여 자기 정렬된 콘택을 형성하는 방법
JP5308414B2 (ja) 半導体デバイスおよびその構造体の製造方法
US20090087981A1 (en) Void-free copper filling of recessed features for semiconductor devices
TW201541556A (zh) 接觸插塞及其製作方法與半導體元件
JP2008532271A (ja) 原子層堆積のための表面のプラズマ前処理
TWI694501B (zh) 防止銅擴散的介電/金屬阻障集成
TW200834815A (en) Interconnect structure and method of manufacturing a damascene structure
US10453681B2 (en) Method of selective vertical growth of a dielectric material on a dielectric substrate
TW200303599A (en) Manufacturing method of semiconductor device
KR102545882B1 (ko) 역행 프로파일들을 갖는 리세스된 피처들을 보이드 없이 충전하는 방법
KR100667905B1 (ko) 반도체 소자의 구리 금속배선 형성방법
US10825720B2 (en) Single trench damascene interconnect using TiN HMO
US6876078B2 (en) Semiconductor interconnection structure with TaN and method of forming the same
US20040029348A1 (en) Method for forming silicide wires in a semiconductor device
JP4457884B2 (ja) 半導体装置
US20050184288A1 (en) Semiconductor device having a second level of metallization formed over a first level with minimal damage to the first level and method
KR20040111123A (ko) 반도체 장치 및 반도체 장치의 제조 방법
KR101103550B1 (ko) 반도체 소자의 금속배선 형성방법
KR20040051189A (ko) 루테늄 비트라인을 구비하는 반도체 소자 및 그의 제조 방법
KR102553120B1 (ko) 레트로그레이드 리세스된 피처를 충전하는 방법
JP2009266999A (ja) 半導体装置、およびその製造方法
KR20010061583A (ko) 반도체 소자의 대머신 금속배선 형성방법
JP2015133382A (ja) 半導体装置の製造方法
KR20030003331A (ko) 반도체 소자의 구리 배선 형성 방법
KR100464652B1 (ko) 반도체 소자의 캐패시터 형성방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant