TWI763540B - 使用氧化鎂穿隧介電質的鐵電穿隧接面記憶體元件及其形成方法 - Google Patents

使用氧化鎂穿隧介電質的鐵電穿隧接面記憶體元件及其形成方法 Download PDF

Info

Publication number
TWI763540B
TWI763540B TW110121586A TW110121586A TWI763540B TW I763540 B TWI763540 B TW I763540B TW 110121586 A TW110121586 A TW 110121586A TW 110121586 A TW110121586 A TW 110121586A TW I763540 B TWI763540 B TW I763540B
Authority
TW
Taiwan
Prior art keywords
ferroelectric
layer
material layer
tunnel junction
ftj
Prior art date
Application number
TW110121586A
Other languages
English (en)
Other versions
TW202201698A (zh
Inventor
禮修 馬
世海 楊
漢中 賈
楊柏峰
呂俊頡
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202201698A publication Critical patent/TW202201698A/zh
Application granted granted Critical
Publication of TWI763540B publication Critical patent/TWI763540B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B51/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors
    • H10B51/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory transistors characterised by the memory core region
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L28/00Passive two-terminal components without a potential-jump or surface barrier for integrated circuits; Details thereof; Multistep manufacturing processes therefor
    • H01L28/40Capacitors
    • H01L28/55Capacitors with a dielectric comprising a perovskite structure material
    • H01L28/56Capacitors with a dielectric comprising a perovskite structure material the dielectric comprising two or more layers, e.g. comprising buffer layers, seed layers, gradient layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/401Multistep manufacturing processes
    • H01L29/4011Multistep manufacturing processes for data storage electrodes
    • H01L29/40111Multistep manufacturing processes for data storage electrodes the electrodes comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/516Insulating materials associated therewith with at least one ferroelectric layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6684Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a ferroelectric gate insulator
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/78391Field effect transistors with field effect produced by an insulated gate the gate comprising a layer which is used for its ferroelectric properties
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/30Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the memory core region
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B53/00Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors
    • H10B53/40Ferroelectric RAM [FeRAM] devices comprising ferroelectric memory capacitors characterised by the peripheral circuit region

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Semiconductor Memories (AREA)

Abstract

一種鐵電穿隧接面(FTJ)記憶體元件,包含:底部電極,位於基底上方;頂部電極,上覆於底部電極;以及鐵電穿隧接面記憶體部件,位於底部電極與頂部電極之間。鐵電穿隧接面記憶體部件包含至少一鐵電材料層及至少一穿隧介電層。

Description

使用氧化鎂穿隧介電質的鐵電穿隧接面記憶體元件及其形成方法
本發明實施例是有關於一種使用氧化鎂穿隧介電質的鐵電穿隧接面記憶體元件及其形成方法。
鐵電材料為當外部電場為零時可能具有自發非零電極化(亦即非零總電偶極矩)的材料。自發電極化可藉由在相反方向上施加的較強外部電場來逆轉。電極化不僅取決於量測時的外部電場,而且取決於外部電場的歷程,且因此具有磁滯迴路。電極化的最大值稱為飽和極化。在不再施加(亦即關閉)誘發飽和極化的外部電場之後保留的電極化稱為殘餘極化。需要在殘餘極化的相反方向上施加以便實現零極化的電場的量值稱為矯頑電場。出於形成記憶體元件的目的,通常期望具有高殘餘極化及高矯頑電場。高殘餘極化可增大電訊號的量值。高矯頑電場使得記憶體元件更穩定,以抵抗相對於由噪聲級電場及干擾所引起的擾動。
本發明實施例提供一種鐵電穿隧接面(FTJ)記憶體元件,包含:底部電極,位於基底上方;頂部電極,上覆於底部電極;以及鐵電穿隧接面記憶體部件,位於底部電極與頂部電極之間且包含至少一鐵電材料層及至少一穿隧介電層。
本發明實施例提供一種鐵電穿隧接面(FTJ)記憶陣列,包括:電晶體陣列,位於基底上或基底上方;以及鐵電穿隧接面(FTJ)記憶胞陣列,上覆於電晶體陣列,或在電晶體陣列之下,或位於與電晶體陣列相同的層級處。FTJ記憶胞陣列內的每一FTJ記憶胞包括:底部電極;頂部電極,上覆於底部電極;以及鐵電穿隧接面(FTJ)記憶胞,位於底部電極與頂部電極之間,且包含至少一鐵電材料層及至少一穿隧介電層,其中FTJ記憶胞陣列內的每一FTJ記憶胞電連接至電晶體陣列內的各別電晶體。
本發明實施例提供一種製造鐵電穿隧接面(FTJ)記憶體元件的方法,包括:在基底上方形成包含底部電極材料層、鐵電穿隧接面材料層以及頂部電極材料層的層堆疊,其中鐵電穿隧接面材料層包括至少一鐵電材料層及至少一穿隧介電層;利用蝕刻罩幕遮罩層堆疊的區;以及藉由蝕刻層堆疊的未由蝕刻罩幕遮罩的未遮罩部分來形成鐵電穿隧接面(FTJ)記憶胞。
9:基底
100:記憶陣列區
101:鐵電穿隧接面記憶胞
108:介電頂蓋層
110:連接通孔層級介電層
122:金屬阻障層
124:金屬通孔填充材料
130:底部電極
130L:底部電極材料層
140:穿隧介電層
140L:連續穿隧介電層
150:鐵電材料層
150L:連續鐵電材料層
160:頂部電極
160L:頂部電極材料層
162:金屬硬罩幕部分
162L:金屬硬罩幕材料層
170:記憶體層級介電層
177:蝕刻罩幕
180:各別金屬通孔部分
190:各別金屬線部分
200:周邊區
280:各別金屬通孔部分
290:各別金屬線部分
601:接觸層級介電材料層
610:第一金屬線層級介電材料層
612:元件接觸通孔結構
618:第一金屬線結構
620:第二線及通孔層級介電材料層
622:第一金屬通孔結構
628:第二金屬線結構
630:第三線及通孔層級介電材料層
630A:下部介電材料層
630B:上部介電材料層
632:第二金屬通孔結構
638:第三金屬線結構
650:第五線及通孔層級介電材料層
652:第四金屬通孔結構
658:第五金屬線結構
700:互補金屬氧化物半導體電路系統
720:淺溝渠隔離結構
732:源極區
735:半導體通道
738:汲極區
742:源極側金屬半導體合金區
748:汲極側金屬半導體合金區
750:閘極結構
752:閘極介電質
754:閘極電極
756:閘極間隔件
758:閘極頂蓋介電質
800:薄膜電晶體
832:TFT源極區
835:TFT通道
838:TFT汲極區
852:TFT閘極介電層
854:TFT閘極電極
1110、1120、1130:步驟
當結合隨附圖式閱讀時,根據以下詳細描述最佳地理解本揭露內容的態樣。應注意,根據業界中的標準慣例,各種特徵未按比例繪製。實際上,出於論述的清楚起見,可任意增大或減 小各種特徵的尺寸。
圖1為根據本揭露內容的實施例的在形成互補金屬氧化物半導體(complementary metal-oxide-semiconductor;CMOS)電晶體、形成於介電材料層中的金屬內連線結構以及薄膜電晶體(thin film transistor;TFT)之後的例示性結構的豎直橫截面視圖。
圖2為根據本揭露內容的實施例的在形成連接通孔結構陣列之後的例示性結構的豎直橫截面視圖。
圖3為根據本揭露內容的實施例的在形成底部電極材料層、連續穿隧介電層、連續鐵電材料層、頂部電極材料層以及金屬硬罩幕材料層之後的例示性結構的豎直橫截面視圖。
圖4為根據本揭露內容的實施例的在形成鐵電穿隧接面記憶胞之後的例示性結構的豎直橫截面視圖。
圖5為根據本揭露內容的實施例的在形成記憶體層級介電層及記憶體層級金屬內連線結構之後的例示性結構的豎直橫截面視圖。
圖6為根據本揭露內容的實施例的在形成上部層級金屬內連線結構之後的例示性結構的豎直橫截面視圖。
圖7為根據本揭露內容的實施例的例示性結構的第一替代性實施例的豎直橫截面視圖。
圖8為根據本揭露內容的實施例的例示性結構的第二替代性實施例的豎直橫截面視圖。
圖9為根據本揭露內容的實施例的例示性結構的第三替代性實施例的豎直橫截面視圖。
圖10示出根據本揭露內容的實施例的鐵電穿隧接面記憶胞 的替代性實施例的豎直橫截面視圖。
圖11為示出本揭露內容的方法的一般處理步驟的流程圖。
以下揭露內容提供用於實施所提供主題的不同特徵的許多不同實施例或實例。下文描述組件及配置的具體實例以簡化本揭露內容當然,此等僅為實例且並不意欲為限制性的。舉例而言,在以下描述中,第一特徵在第二特徵上方或在第二特徵上的形成可包含第一特徵及第二特徵直接接觸地形成的實施例,且亦可包含額外特徵可在第一特徵與第二特徵之間形成使得第一特徵與第二特徵可不直接接觸的實施例。另外,本揭露內容可在各種實例中重複附圖標號及/或字母。此重複是出於簡單及清晰的目的,且本身並不指示所論述的各種實施例及/或組態之間的關係。
另外,為易於描述,本文中可使用諸如「在...之下」、「在...下方」、「下部」、「在...上方」、「上部」以及類似者的空間相對術語來描述如諸圖中所示出的一個部件或特徵與另一部件或特徵的關係。除圖式中所描繪的定向之外,空間相對術語亦意欲涵蓋元件在使用或操作中的不同定向。裝置可以其他方式定向(旋轉90度或處於其他定向),且本文中所使用的空間相對描述詞可同樣相應地進行解釋。
大體而言,本揭露內容的結構及方法可用於形成鐵電穿隧接面記憶胞及/或鐵電穿隧接面記憶胞陣列。相比於其他新興的非揮發性技術,諸如磁阻性隨機存取記憶體(magnetoresistive random-access memory;MRAM)及電阻性隨機存取記憶體 (resistive random access memory;RRAM),鐵電穿隧接面記憶胞由於具有超低功耗,因此其有望成為用於後段製程(back-end-of-line;BEOL)記憶體的候選者。藉由增加鄰近金屬鐵電金屬電容器的穿隧絕緣層(tunneling insulating layer;TIL),可形成金屬-FE絕緣體-金屬(Metal-FE-Insulator-Metal;MFIM)穿隧元件。本揭露內容的結構及方法可用於形成包含氧化鎂作為穿隧介電材料的鐵電穿隧接面記憶胞。氧化鎂可允許更相干電子穿隧且因此允許較大的穿隧電阻(tunneling electroresistance;TER)。現參考隨附圖式詳細描述本揭露內容的各種態樣。
圖1為根據本揭露內容的實施例的在形成互補金屬氧化物半導體(CMOS)電晶體、形成於介電材料層中的金屬內連線結構以及薄膜電晶體(TFT)之後的例示性結構的豎直橫截面視圖。參考圖1,示出根據本揭露內容的實施例的例示性結構。例示性結構包含基底9,其可為諸如市售矽基底的半導體基底。包含諸如氧化矽的介電材料的淺溝渠隔離結構720可形成於基底9的上部部分中。合適的摻雜半導體井(諸如p型井及n型井)可形成於可由淺溝渠隔離結構720的一部分橫向封閉的每一區域內。場效電晶體可形成於基底9的頂表面上方。舉例而言,每一場效電晶體可包含源極區732、汲極區738、包含在源極區732與汲極區738之間延伸的基底9的表面部分的半導體通道735以及閘極結構750。半導體通道735可包含單晶半導體材料。每一閘極結構750可包含閘極介電質752、閘極電極754、閘極頂蓋介電質758以及介電閘極間隔件756。源極側金屬半導體合金區742可形成於每一源極區732上,且汲極側金屬半導體合金區748可形成於每一汲 極區738上。
例示性結構可包含其中可隨後形成記憶體部件陣列的記憶陣列區100以及其中可形成支持記憶體部件陣列的操作的邏輯元件的周邊區200。在一實施例中,記憶陣列區100中的元件(諸如場效電晶體)可包含提供對隨後待形成的記憶胞的底部電極的存取的底部電極存取電晶體。在此處理步驟處,可在周邊區200中形成提供對隨後待形成的記憶胞的頂部電極的存取的頂部電極存取電晶體。大體而言,存取電晶體可形成於基底9上方,使得每一存取電晶體的源極區732或汲極區738藉由各別金屬內連線結構的集合電連接至各別記憶胞的底部電極或頂部電極。
周邊區200中的元件(諸如場效電晶體)可提供操作隨後待形成的記憶胞陣列所需的功能。具體而言,周邊區中的元件可經組態以控制記憶胞陣列的程式化操作、抹除操作以及感測(讀取)操作。舉例而言,周邊區中的元件可包含感測電路系統及/或頂部電極偏壓電路系統。形成於基底9的頂表面上的元件可包含互補金屬氧化物半導體(CMOS)電晶體及視情況額外半導體元件(諸如,電阻器、二極體、電容器等),且統稱為CMOS電路系統700。此等元件可通常形成於前段製程(front-end-of-line;FEOL)中。
形成於介電材料層內的各種金屬內連線結構可隨後形成於基底9及其上的半導體元件(諸如場效電晶體)上方。介電材料層可包含例如接觸層級介電材料層601、第一金屬線層級介電材料層610、第二線及通孔層級介電材料層620以及第三線及通孔層級介電材料層630。金屬內連線結構可包含:形成於接觸層級介電 材料層601中且接觸CMOS電路系統700的各別組件的元件接觸通孔結構612、形成於第一金屬線層級介電材料層610中的第一金屬線結構618、形成於第二線及通孔層級介電材料層620的下部部分中的第一金屬通孔結構622、形成於第二線及通孔層級介電材料層620的上部部分中的第二金屬線結構628、形成於第三線及通孔層級介電材料層630的下部部分中的第二金屬通孔結構632以及形成於第三線及通孔層級介電材料層630的上部部分中的第三金屬線結構638。在一實施例中,第二金屬線結構628可包含連接用於記憶體部件陣列的源極側電源的源極線。由源極線提供的電壓可經由設置於記憶陣列區100中的存取電晶體施加至底部電極。
介電材料層(601、610、620、630)中的每一者可包含介電材料,諸如未經摻雜的矽酸鹽玻璃、摻雜矽酸鹽玻璃、有機矽酸鹽玻璃、非晶型氟化碳、其多孔變體或其組合。其他介電材料在本揭露內容的涵蓋範圍內。金屬內連線結構(612、618、622、628、632、638)中的每一者可包含至少一種導電材料,其可為金屬襯裡層(諸如,金屬氮化物或金屬碳化物)與金屬填充材料的組合。每一金屬襯裡層可包含TiN、TaN、WN、TiC、TaC以及WC,且每一金屬填充材料部分可包含W、Cu、Al、Co、Ru、Mo、Ta、Ti、其合金及/或其組合。亦可使用本揭露內容的涵蓋範圍內的其他合適的金屬材料。在一實施例中,第一金屬通孔結構622及第二金屬線結構628可藉由雙金屬鑲嵌製程形成為積體線及通孔結構,且/或第二金屬通孔結構632及第三金屬線結構638可形成為積體線及通孔結構。雖然使用其中記憶胞陣列形成於第三線及通孔層級介電材料層630上方的實施例來描述本揭露內容,但 本文中明確地涵蓋其中記憶胞陣列可形成於不同金屬內連線層級處的實施例。
在一實施例中,如薄膜電晶體(TFT)800的電晶體可形成於金屬內連線層級中的一者中。舉例而言,TFT 800可形成於第二線及通孔層級介電材料層620或第三線及通孔層級介電材料層630內。在說明性實例中,TFT 800可形成於第三線及通孔層級介電材料層630內。在此實施例中,第三線及通孔層級介電材料層630可包含在形成TFT 800之前可形成於第二線及通孔層級介電材料層上方的下部介電材料層630A,以及可形成於TFT 800上方的上部介電材料層630B。每一TFT 800可包含:各別TFT閘極電極854、可為上覆於TFT閘極電極854的連續TFT閘極介電層852的一部分的各別TFT閘極介電質、上覆於各別TFT閘極電極854的各別TFT通道835、接觸各別TFT通道835的第一端的頂表面的各別TFT源極區832以及接觸各別TFT通道835的第二端的頂表面的各別TFT汲極區838。第二金屬通孔結構632的子集可接觸TFT閘極電極854、TFT源極區832以及TFT汲極區838中的各別一者。TFT電晶體800可用以當作控制對隨後待形成於上方的記憶胞的底部電極或頂部電極的存取的存取電晶體。雖然採用TFT閘極電極854、TFT源極區832以及TFT汲極區838的具體組態來描述本揭露內容,但亦可採用TFT閘極電極854、TFT源極區832以及TFT汲極區838的替代性組態。舉例而言,TFT閘電極854、TFT源極區832以及TFT汲極區838中的每一者可相對於鄰近部件升高、凹入或橫向地偏移。
介電頂蓋層108及連接通孔層級介電層110可依序形成 於金屬內連線結構及介電材料層上方。舉例而言,介電頂蓋層108可形成於第三金屬線結構638的頂表面及第三線及通孔層級介電材料層630的頂表面上。介電頂蓋層108包含可保護下伏金屬內連線結構(諸如第三金屬線結構638)的介電頂蓋材料。在一實施例中,介電頂蓋層108可包含可提供高抗蝕刻性的材料,亦即介電材料,且在蝕刻連接通孔層級介電層110的隨後非等向性蝕刻製程期間還可用以當作蝕刻終止材料。舉例而言,介電頂蓋層108可包含碳化矽或氮化矽,且可具有介於5奈米至30奈米的範圍內的厚度,但亦可使用更小及更大厚度。
連接通孔層級介電層110可包含可用於介電材料層(601、610、620、630)的任何材料。舉例而言,連接通孔層級介電層110可包含藉由分解正矽酸四乙酯(tetraethylorthosilicate;TEOS)而沈積的未經摻雜矽酸鹽玻璃或摻雜矽酸鹽玻璃。連接通孔層級介電層110的厚度可介於50奈米至200奈米的範圍內,但亦可使用更小及更大厚度。介電頂蓋層108及連接通孔層級介電層110可形成為具有延伸貫穿記憶陣列區100及周邊區200的各別平坦頂表面及各別平坦底表面的平坦毯覆式(未經圖案化)層。
圖2為根據本揭露內容的實施例的在形成連接通孔結構陣列之後的例示性結構的豎直橫截面視圖。參考圖2,通孔空腔可形成以穿過連接通孔層級介電層110及介電頂蓋層108。舉例而言,可將光阻層(未繪示)施加於連接通孔層級介電層110上方且可使其圖案化以在上覆於第三金屬內連線結構638中的各別一者的記憶陣列區100的區域內形成開口。可執行非等向性蝕刻以將光阻層中的圖案轉移並穿過連接通孔層級介電層110及介電頂 蓋層108。藉由非等向性蝕刻製程所形成的通孔空腔在本文中稱為下部電極接觸通孔空腔,此是由於底部電極連接通孔結構隨後形成於下部電極接觸通孔空腔中。下部電極接觸通孔空腔可具有錐形側壁,所述錐形側壁具有介於1度至10度的範圍內的錐角(在豎直方向上)。第三金屬內連線結構638的頂表面可實體地暴露於每一下部電極接觸通孔空腔的底部處。可隨後例如藉由灰化來移除光阻層。
金屬阻障層可形成為材料層。金屬阻障層可覆蓋第三金屬內連線結構638的經實體暴露的頂表面、下部電極接觸通孔空腔的錐形側壁以及連接通孔層級介電層110的頂表面,而無任何孔從其中穿過。金屬阻障層可包含導電金屬氮化物,諸如TiN、TaN及/或WN。亦可使用本揭露內容的涵蓋範圍內的其他合適的材料。金屬阻障層的厚度可介於3奈米至20奈米的範圍內,但亦可使用小少及更大厚度。
諸如鎢或銅的金屬填充材料可沈積於下部電極接觸通孔空腔的剩餘體積中。金屬填充材料及金屬阻障層的上覆於包含連接通孔層級介電層110的最頂部表面的水平面的部分可藉由諸如化學機械平坦化的平坦化製程移除來形成。位於各別通孔空腔的金屬填充材料的每一剩餘部分包括金屬通孔填充材料部分124。各別通孔空腔中的金屬阻障層的每一剩餘部分包括金屬阻障層122。填充通孔空腔的金屬阻障層122及金屬通孔填充材料部分124的每一組合構成連接通孔結構(122、124)。連接通孔結構(122、124)陣列可形成於下伏金屬內連線結構上的連接通孔層級介電層110中。
圖3為根據本揭露內容的實施例的在形成底部電極材料層、穿隧介電層、鐵電材料層、頂部電極材料層以及金屬硬罩幕材料層之後的例示性結構的豎直橫截面視圖。在一些實施例中,穿隧介電層可形成具有氧化鎂作為介電材料。在一些額外實施例中,穿隧介電層可為連續穿隧介電層。在一些額外實施例中,鐵電材料層可形成為連續鐵電材料層。參考圖3中所示出的實施例,包含底部電極材料層130L、連續穿隧介電層140L、連續鐵電材料層150L、頂部電極材料層160L以及金屬硬罩幕材料層162L的層堆疊可形成於連接通孔層級介電層110上方。層堆疊內的層可藉由各別化學氣相沈積製程或各別物理氣相沈積製程來沈積。層堆疊內的每一層可沈積為在整個層中具有各別均一厚度的平坦毯覆式材料層。連續穿隧介電層140L及連續鐵電材料層150L統稱為鐵電穿隧接面材料層。換言之,鐵電穿隧接面材料層可形成於底部電極材料層130L與頂部電極材料層160L之間。
雖然使用其中鐵電穿隧接面材料層包含連續穿隧介電層140L及連續鐵電材料層150L的實施例來描述本揭露內容,但本揭露內容的方法及結構可應用於其中鐵電穿隧接面材料層包含至少一連續穿隧介電層140L及至少一連續鐵電材料層150L的任何結構。另外,連續穿隧介電層140L可上覆於連續鐵電材料層150L或在連續鐵電材料層150L之下。本文中明確地涵蓋本揭露內容的修改,其中鐵電穿隧接面材料層包含相變記憶體材料、鐵電記憶體材料或空缺調製的導電氧化物材料。
底部電極材料層130L可包含過渡金屬、導電金屬氮化物以及導電金屬碳化物中的至少一者,及/或可主要由過渡金屬、導 電金屬氮化物以及導電金屬碳化物中的至少一者組成。在一實施例中,底部電極材料層130L包含至少一種金屬材料,諸如TiN、TaN、WN、W、Cu、Al、Ti、Ta、Ru、Co、Mo、Pt、其合金及/或其組合。亦可使用本揭露內容的涵蓋範圍內的其他合適的金屬材料。舉例而言,底部電極材料層130L可包含元素金屬(諸如,W、Cu、Ti、Ta、Ru、Co、Mo、或Pt),及/或可主要由元素金屬組成。底部電極材料層130L的厚度可介於10奈米至100奈米的範圍內,但亦可使用更小及更大厚度。
連續穿隧介電層140L包含氧化鎂、氧化鋁、或另一介電金屬氧化物,及/或主要由氧化鎂、氧化鋁、或另一介電金屬氧化物組成。根據本揭露內容的實施例,連續穿隧介電層140L的部分可隨後用作鐵電穿隧接面中的穿隧介電質。在一實施例中,連續穿隧介電層140L包含氧化鎂或含氧化鎂材料。連續穿隧介電層140L中的氧化鎂材料具有沿著<001>方向生長的趨勢,亦即以形成沿著豎直方向具有<001>方向的結晶晶粒的方式生長的趨勢。連續穿隧介電層140L的氧化鎂材料的晶粒的生長定向的較佳排列使得隨後沈積於其上的連續鐵電材料層150L中的較大晶粒生長。連續穿隧介電層140L的晶粒的主要分率(亦即大於40%)可沿著<001>方向排列。
連續穿隧介電層140L的晶粒的沿著豎直方向的主要排列可改良隨後沈積於其上的連續鐵電材料層150L中的氧化鎂材料的域均一性(domain uniformity)。連續穿隧介電層140L可藉由物理氣相沈積、真空蒸發或原子層沈積來形成。連續穿隧介電層140L的厚度可介於0.7奈米至4奈米的範圍內,諸如介於1奈米至3 奈米之間,但亦可使用更小及更大厚度。
連續鐵電材料層150L包含具有用於電極化的兩個穩定方向的鐵電材料。兩個穩定方向可為向上方向及向下方向。連續鐵電材料層150L的鐵電材料可包含選自以下的至少一種材料:纖鋅礦類鐵電氮化物材料、氧化鉿、氧化鉿鋯、鈦酸鋇、硬硼鈣石、鈦酸鉍、鈦酸銪鋇、鐵電聚合物、碲化鍺、無水鉀鎂礬、鉭酸鉛鈧、鈦酸鉛、鋯鈦酸鉛、鈮酸鋰、聚偏二氟乙烯、鈮酸鉀、酒石酸鉀鈉、磷酸氧鈦鉀、鈦酸鉍鈉、鉭酸鋰、鈦酸鉛鑭、鋯鈦酸鉛鑭、磷酸二氫銨以及磷酸二氫鉀。其他合適的鐵電材料在本揭露內容的涵蓋範圍內。連續鐵電材料層150L可例如藉由物理氣相沈積來沈積。連續鐵電材料層150L的厚度可介於2奈米至20奈米的範圍內,諸如介於4奈米至10奈米之間,但亦可使用更小及更大厚度。
根據本揭露內容的態樣,舉例而言,連續鐵電材料層150L的鐵電材料包含諸如AlN或GaN的纖鋅礦類鐵電氮化物材料作為合適地摻雜有諸如ScN或YN的材料的基材。在一些實施例中,基材的摻雜劑的性質及量可根據其他應用或電路要求(諸如鐵電層的厚度)來選擇。纖鋅礦類鐵電氮化物材料包含摻雜鈧氮化鋁、摻雜釔氮化鋁、摻雜鈧氮化鎵、摻雜釔氮化鎵、摻雜鈧氮化銦、摻雜釔氮化銦。舉例而言,Al1-xScxN(其中x介於0.1至0.4的範圍內)可提供用於電極化的磁滯曲線,所述磁滯曲線具有極方形狀極化電壓(polarization-voltage;PV)迴路及較大殘餘極化以及較大矯頑性。根據本揭露內容的態樣,纖鋅礦類鐵電氮化物材料可用於連續鐵電材料層150L以提供矯頑電場的緊密分佈且提供 鐵電元件的更可靠切換。
在一實施例中,連續穿隧介電層140L中的氧化鎂材料可改良連續鐵電材料層150L的域均一性。連續鐵電材料層150L的鐵電材料中的域尺寸及域均一性的增加提供更多相干電子穿隧及較大鐵電穿隧電阻,亦即鐵電穿隧接面的高電阻狀態的電阻與鐵電穿隧接面的低電阻狀態的電阻之間的較大比率。
頂部電極材料層160L包含頂部電極材料,所述頂部電極材料可包含可用於底部電極材料層130L的任何金屬材料。頂部電極材料層160L可包含過渡金屬、導電金屬氮化物以及導電金屬碳化物中的至少一者,及/或可主要由過渡金屬、導電金屬氮化物以及導電金屬碳化物中的至少一者組成。可用於頂部電極材料層160L的例示性金屬材料包含但不限於TiN、TaN、WN、W、Cu、Al、Ti、Ta、Ru、Co、Mo、Pt、其合金及/或其組合。亦可使用本揭露內容的涵蓋範圍內的其他合適的材料。舉例而言,頂部電極材料層160L可包含元素金屬(諸如,W、Cu、Ti、Ta、Ru、Co、Mo、或Pt),及/或可主要由元素金屬組成。頂部電極材料層160L的厚度可介於10奈米至100奈米的範圍內,但亦可使用更小及更大厚度。
金屬硬罩幕材料層162L為視情況選用的。在其中存在金屬硬罩幕材料層162L的實施例中,金屬硬罩幕材料層162L可包含對隨後待用於蝕刻介電材料(其可包含例如未經摻雜矽酸鹽玻璃、摻雜矽酸鹽玻璃或有機矽酸鹽玻璃)的非等向性蝕刻製程提供高電阻的金屬蝕刻終止材料。在一實施例中,金屬硬罩幕材料層162L可包含導電金屬氮化物材料(諸如,TiN、TaN或WN) 或導電金屬碳化物材料(諸如,TiC、TaC或WC)。其他合適的金屬硬罩幕材料在本揭露內容的涵蓋範圍內。在一實施例中,金屬硬罩幕材料層162L包含TiN,及/或主要由TiN組成。金屬硬罩幕材料層162L可藉由化學氣相沈積或物理氣相沈積來沈積。金屬硬罩幕材料層162L的厚度可介於2奈米至20奈米的範圍內,諸如介於3奈米至10奈米之間,但亦可使用更小及更大厚度。
大體而言,包含底部電極材料層130L、鐵電穿隧接面材料層(140L、150L)以及頂部電極材料層160L的層堆疊形成於基底9上方。鐵電穿隧接面材料層包括至少一連續鐵電材料層150L及至少一連續穿隧介電層140L。
圖4為根據本揭露內容的實施例的在形成鐵電穿隧接面記憶胞之後的例示性結構的豎直橫截面視圖。參考圖4,包含蝕刻罩幕部分的二維陣列的蝕刻罩幕177可形成於金屬硬罩幕材料層162L及/或頂部電極材料層160L上方。舉例而言,蝕刻罩幕177可包含藉由施加且微影圖案化光阻材料層所形成的經圖案化光阻材料部分的二維陣列。在一實施例中,蝕刻罩幕177可包含經圖案化光阻材料部分的二維週期性陣列(諸如二維矩形陣列)。每一經圖案化光阻材料部分可具有環形、矩形、圓角矩形、橢圓形或任何其他閉合曲線形狀的水平橫截面形狀。在其中蝕刻罩幕177包含蝕刻罩幕材料部分(諸如光阻材料部分)的二維陣列的實施例中,沿著每一水平週期性方向的蝕刻罩幕177的間距可介於20奈米至400奈米的範圍內,諸如介於40奈米至200奈米之間,但亦可使用更小及更大間距。
可執行非等向性蝕刻製程以將蝕刻罩幕177中的圖案轉 移並穿過層堆疊(162L、160L、150L、140L、130L),所述層堆疊包含金屬硬罩幕材料層162L、頂部電極材料層160L、鐵電穿隧接面材料層(150L、140L)以及底部電極材料層130L。非等向性蝕刻製程蝕刻層堆疊(162L、160L、150L、140L、130L)的未遮罩部分,且形成鐵電穿隧接面記憶胞101的二維陣列。
每一鐵電穿隧接面記憶胞101包含豎直堆疊,所述豎直堆疊包含底部電極130、穿隧介電層140、鐵電材料層150、頂部電極160以及金屬硬罩幕部分162。在一實施例中,在非等向性蝕刻製程期間,可消耗蝕刻罩幕177,且在底部電極材料層130L的圖案化期間,金屬硬罩幕部分162可用作額外蝕刻罩幕。每一金屬硬罩幕部分162為金屬硬罩幕材料層162L的經圖案化部分。每一頂部電極160為頂部電極材料層160L的經圖案化部分。每一鐵電材料層150為連續鐵電材料層150L的經圖案化部分。每一穿隧介電層140為連續穿隧介電層140L的經圖案化部分。每一底部電極130為連續底部電極材料層130L的經圖案化部分。
每一鐵電穿隧接面記憶胞101內的層的側壁可豎直地重合,亦即可位於包含至少一上覆層及/或至少一下伏層的側壁的豎直平面內。每一鐵電穿隧接面記憶胞101內的層的側壁可為豎直的,或可具有介於0.1度至30度的範圍內的錐角。可隨後例如藉由灰化來移除蝕刻罩幕177。視情況,介電間隔件(未繪示)可形成於鐵電穿隧接面記憶胞101陣列的周圍。
可形成鐵電穿隧接面(ferroelectric tunnel junction;FTJ)記憶胞101陣列。每一FTJ記憶胞101可包含位於基底9上方的底部電極130、上覆於底部電極130的頂部電極160以及位於底部 電極130與頂部電極160之間且包含鐵電材料層150及穿隧介電層140的鐵電穿隧接面(FTJ)記憶體部件(140、150)。
在一實施例中,FTJ記憶體部件(140、150)中的穿隧介電層140的側壁可與FTJ記憶體部件(140、150)中的鐵電材料層150的側壁豎直地重合。連接通孔結構(122、124)陣列可形成於連接通孔層級介電層110內,且可接觸FTJ記憶胞101的底部電極130的底表面。每一穿隧介電層140可包含多晶氧化鎂晶粒。多晶氧化鎂晶粒可具有主要結晶取向,所述主要結晶取向為<001>方向且垂直於每一接觸對鐵電材料層150與穿隧介電層140之間的水平界面。
圖5為根據本揭露內容的實施例的在形成記憶體層級介電層及記憶體層級金屬內連線結構之後的例示性結構的豎直橫截面視圖。參考圖5,記憶體層級介電層170可形成於FTJ記憶胞101陣列及連接通孔層級介電層110周圍及上方。記憶體層級介電層170包含可平坦化介電材料,諸如未經摻雜矽酸鹽玻璃或摻雜矽酸鹽玻璃。記憶體層級介電層170的介電材料可藉由保形沈積製程(諸如化學氣相沈積製程)或自平坦化沈積製程(諸如旋塗)來沈積。
至少一微影圖案化步驟及至少一非等向性蝕刻製程可用於在記憶體層級介電層170中形成內連線空腔。舉例而言,可將第一光阻層(未繪示)施加於記憶體層級介電層170上方,且可使其微影圖案化以在周邊區200中的第一光阻層中形成離散開口陣列。可執行第一非等向性蝕刻製程以在記憶體層級介電層170中形成通孔空腔。在移除第一光阻層之後,可將第二光阻層(未 繪示)施加於記憶體層級介電層170上方,且可使其微影圖案化以在周邊區200內的第二光阻層中形成線形開口。可執行第二非等向性蝕刻製程以在記憶體層級介電層170中形成線空腔。可隨後移除第二光阻層。在一實施例中,內連線空腔可形成為積體線及通孔空腔。在此實施例中,每一積體線及通孔空腔可包含線空腔及至少一通孔空腔。金屬硬罩幕部分162的頂表面(或頂部電極160的頂表面)可實體地暴露於形成於記憶陣列區100中的每一通孔空腔的底部處,且金屬線結構(諸如第三金屬線結構638)的頂表面可實體地暴露於形成於周邊區200中的每一通孔空腔的底部處。
至少一種金屬材料可沈積於內連線空腔中。至少一種金屬材料在本文中稱為至少一種記憶體層級金屬材料。在一實施例中,金屬阻障材料層(諸如,TiN層、TaN層及/或WN層)及金屬填充材料(諸如,W、Cu、Co、Ru、Mo或金屬間合金)可沈積於內連線空腔中及記憶體層級介電層170上方。
可執行諸如化學機械平坦化製程的平坦化製程以自記憶體層級介電層170上方移除至少一種記憶體層級金屬材料。化學機械平坦化製程可自包含記憶體層級介電層170的頂表面的水平面上方移除材料部分。填充內連線空腔的至少一種記憶體層級金屬材料的剩餘部分包括記憶體層級金屬內連線結構(180、190、280、290)。在一實施例中,記憶體層級金屬內連線結構(180、190、280、290)。記憶體層級金屬內連線結構(180、190、280、290)可包含形成於記憶陣列區100中的第一記憶體層級線及通孔結構(180、190)及第二記憶體層級線及通孔結構(280、290)。 每一第一記憶體層級線及通孔結構(180、190)可包含形成於周邊區200中。
每一第一記憶體層級線及通孔結構(180、190)可包含接觸金屬硬罩幕部分162的頂表面(或頂部電極160的頂表面)的各別金屬通孔部分180,以及上覆於各別金屬通孔部分180且鄰近於各別金屬通孔部分180的各別金屬線部分190。每一第二記憶體層級線及通孔結構(280、290)可包含接觸金屬線結構(諸如第三金屬線結構638)的頂表面的各別金屬通孔部分280,以及上覆於各別金屬通孔部分280且鄰近於各別金屬通孔部分280的各別金屬線部分290。記憶體層級金屬內連線結構(180、190、280、290)的頂表面可位於包含記憶體層級介電層170的頂表面的水平面內。
大體而言,介電材料層(諸如記憶體層級介電層170)可形成於FTJ記憶胞101陣列的上方及周圍。介電材料層已形成於FTJ記憶胞101陣列內,且橫向地環繞FTJ記憶胞101陣列。金屬內連線結構(諸如第一記憶體層級金屬內連線結構(180、190))及金屬通孔部分可形成以穿過介電材料層。金屬內連線結構接觸或電連接至頂部電極160,所述頂部電極160為頂部電極材料層160L的經圖案化部分。可提供包含各別金屬通孔部分的金屬內連線結構陣列。金屬通孔部分可電連接至選自FTJ記憶胞101陣列的各別頂部電極150。
雖然使用其中記憶體層級金屬內連線結構(180、190、280、290)形成為積體線及通孔結構的實施例來描述本揭露內容,但本文中明確地涵蓋其中使用第一單金屬鑲嵌製程形成金屬通孔 部分(180、280)及使用第二單金屬鑲嵌製程形成金屬線部分(190、290)的實施例。在此實施例中,記憶體層級介電層170可包含具有形成於金屬通孔部分(180、280)中的下部介電材料層及具有形成於金屬線部分(190、290)中的上部介電材料層的豎直堆疊。記憶體層級介電層170用以當作上覆於第三線及通孔層級介電材料層630的第四線及通孔層級介電材料層。
圖6為根據本揭露內容的實施例的在形成上部層級金屬內連線結構之後的例示性結構的豎直橫截面視圖。參考圖6,第五線及通孔層級介電材料層650可隨後形成於記憶體層級介電層170上方。第五線及通孔層級介電材料層650可包含可用於下伏介電材料層(601、610、620、630、110、170)中的任一者的層間介電(interlayer dielectric;ILD)材料。至少一微影圖案化步驟及至少一非等向性蝕刻製程可用於形成第五層級內連線空腔。至少一種金屬材料可沈積於第五層級內連線空腔中。在一實施例中,金屬阻障材料層(諸如,TiN層、TaN層及/或WN層)及金屬填充材料(諸如,W、Cu、Co、Ru、Mo或金屬間合金)可沈積於第五層級內連線空腔中。可執行諸如化學機械平坦化製程的平坦化製程以自包含第五線及通孔層級介電材料層650的頂表面的水平面上方移除至少一種上部層級金屬材料。填充第五層級內連線空腔的至少一種金屬材料的剩餘部分包括可形成為積體線及通孔結構的第四金屬通孔結構652及第五金屬線結構658。替代地,第四金屬通孔結構652及第五金屬線結構658可使用兩個單金屬鑲嵌製程來形成。第四金屬通孔結構652及第五金屬線結構658在本文中稱為上部層級金屬內連線結構。額外上部層級金屬內連線 結構(未繪示)可視需要形成。
在一實施例中,(如CMOS電路系統700中所提供的或包括薄膜電晶體800的)存取電晶體可位於基底9與FTJ記憶胞101陣列的底部電極130之間。由於記憶胞可形成於BEOL位置中,因此存取電晶體亦可形成於BEOL中。在一些應用中,電晶體可製造於BEOL位置中。藉由在BEOL位置中製造電晶體,可在BEOL處添加功能性,同時可在FEOL中獲得寶貴的晶片區域。此類電晶體可利用除了矽類材料以外的材料來形成半導體通道或摻雜汲極區及源極區。舉例而言,可使用可藉由調整材料的相對濃度來控制半導體性質的金屬氧化物半導體。此外,使用金屬氧化物半導體的電晶體可為用於BEOL整合的有吸引力的選項,此是由於此類電晶體可在低溫(諸如,在低於400攝氏度的溫度下)下處理,且因此將不損壞先前所製造的元件。此類電晶體的源極區及汲極區並不需要含有經活化(取代型)摻雜劑的摻雜半導體材料區,但相反可採用金屬材料。此類金屬氧化物半導體可包含半導體氧化物,諸如InGaZnO(IGZO)、氧化銦錫(ITO)、InWO、InZnO、InSnO、GaOx、InOx或類似者。其他合適的金屬氧化物半導體材料在本揭露內容的涵蓋範圍內。
每一存取電晶體的源極區(732或832)或汲極區(738或838)可藉由至少一金屬內連線結構的集合電連接至各別FTJ記憶胞101的底部電極130或頂部電極160。雖然使用其中TFT 800形成於FTJ記憶胞101陣列下方的實施例來描述本揭露內容,但本文中明確地涵蓋其中TFT 800形成於FTJ記憶胞101陣列上方的實施例。大體而言,可提供鐵電穿隧接面(FTJ)記憶陣列。FTJ 記憶陣列可包括位於基底9上或基底9上方的存取電晶體(700、800)陣列,以及上覆於存取電晶體陣列或在存取電晶體陣列之下或位於與存取電晶體陣列相同的層級處的鐵電穿隧接面(FTJ)記憶胞101陣列。FTJ記憶胞101的頂部電極160及/或底部電極130可連接至存取電晶體(700、800)的源極區(732、832)或汲極區(738、838)。
圖7為根據本揭露內容的實施例的例示性結構的第一替代性實施例的豎直橫截面視圖。參考圖7,根據本揭露內容的實施例的例示性結構的第一替代性實施例可藉由在圖3的處理步驟處改變連續穿隧介電層140L及連續鐵電材料層150L的次序而自圖6的例示性結構衍生。因此,鐵電材料層150接觸每一FTJ記憶胞101內的底部電極130的頂表面,且穿隧介電層140接觸每一FTJ記憶胞101內的鐵電材料層150的頂表面及頂部電極160的底表面。穿隧介電層140包括多晶氧化鎂晶粒,且穿隧介電層140的晶粒的主要分率(亦即大於40%)可沿著<001>方向排列。
圖8為根據本揭露內容的實施例的例示性結構的第二替代性實施例的豎直橫截面視圖。參考圖8,例示性結構的第二替代性實施例可藉由在每一FTJ記憶胞101內使用多個穿隧介電層140代替單個穿隧介電層140而自圖6的例示性結構衍生。多個穿隧介電層140的使用可提供增強每一鐵電材料層150內的晶粒的均一性的益處。在此實施例中,連續穿隧介電層140L、連續鐵電材料層150L以及另一連續穿隧介電層140L的堆疊可代替連續穿隧介電層140L及連續鐵電材料層150L的堆疊而在圖3的處理步驟處沈積。因此,鐵電穿隧接面記憶胞101內的每一鐵電穿隧接面 記憶體部件(140、150)包含豎直堆疊,所述豎直堆疊自底部至頂部包含第一穿隧介電層140、鐵電材料層150以及第二穿隧介電層140。因此,第一穿隧介電層140可接觸每一FTJ記憶胞101內的底部電極130的頂表面,且第二穿隧介電層140可接觸每一FTJ記憶胞101內的頂部電極160的底表面。鐵電材料層150可接觸第一穿隧介電層140及第二穿隧介電層140。每一穿隧介電層140可具有介於0.7奈米至3奈米的範圍內的厚度,諸如介於1奈米至2奈米之間,但亦可使用更小及更大厚度。穿隧介電層140包括多晶氧化鎂晶粒,且穿隧介電層140的晶粒的主要分率(亦即大於40%)可沿著<001>方向排列。每一FTJ記憶體部件(140、150)中的鐵電材料層150的厚度可介於2奈米至20奈米的範圍內,諸如介於4奈米至10奈米之間,但亦可使用更小及更大厚度。
圖9為根據本揭露內容的實施例的例示性結構的第三替代性實施例的豎直橫截面視圖。參考圖9,例示性結構的第三替代性實施例可藉由在每一FTJ記憶胞101內使用包含穿隧介電層140及鐵電材料層150的至少兩個重複的層堆疊代替包含單個穿隧介電層140及單個鐵電材料層150的層堆疊而自圖6的例示性結構衍生。在此實施例中,包含連續穿隧介電層140L及連續鐵電材料層150L的至少兩個重複的層堆疊可代替連續穿隧介電層140L及連續鐵電材料層150L的堆疊而在圖3的處理步驟處沈積。因此,鐵電穿隧接面記憶胞101內的每一鐵電穿隧接面記憶體部件(140、150)包含至少兩個重複的層堆疊,所述層堆疊包含穿隧介電層140及鐵電材料層150。換言之,鐵電穿隧接面記憶胞101內的每一FTJ記憶體部件(140、150)包含至少兩個穿隧介電層 140及至少兩個鐵電材料層150。每一FTJ記憶體部件(140、150)中的穿隧介電層140的總數目可與FTJ記憶體部件(140、150)內的鐵電材料層150的總數目相同,或可比所述鐵電材料層150的總數目大1。每一穿隧介電層140可具有介於0.7奈米至3奈米的範圍內的厚度,諸如介於1奈米至2奈米之間,但亦可使用更小及更大厚度。穿隧介電層140包括多晶氧化鎂晶粒,且穿隧介電層140的晶粒的主要分率(亦即大於40%)可沿著<001>方向排列。每一鐵電材料層150的厚度可介於2奈米至20奈米的範圍內,諸如介於4奈米至10奈米之間,但亦可使用更小及更大厚度。
參考圖10,示出用於本揭露內容的例示性結構內的鐵電穿隧接面記憶胞101的替代性組態。大體而言,每一鐵電穿隧接面記憶胞101可自底部至頂部包含底部電極130、包含至少一鐵電材料層150及至少一穿隧介電層140的鐵電穿隧接面記憶體部件(140、150)以及頂部電極160。鐵電材料層150可上覆於穿隧介電層140及/或在穿隧介電層140之下。穿隧介電層140可上覆於鐵電材料層150及/或在鐵電材料層150之下。大體而言,可採用單個鐵電材料層150或多個鐵電材料層150。在採用多個鐵電材料層150的情況下,每一豎直相鄰對鐵電材料層150可藉由各別穿隧介電層140豎直地與彼此間隔開。可採用一個穿隧介電層140或多個穿隧介電層140。在採用多個穿隧介電層140的情況下,每一豎直相鄰對穿隧介電層140可藉由各別鐵電材料層150豎直地與彼此間隔開。在採用多個穿隧介電層140的情況下,可減小一或多個穿隧介電層140的厚度以增大穿過鐵電穿隧接面記憶胞101的電流。
參考圖11,流程圖示出本揭露內容的方法的一般處理步驟,所述方法可用於製造鐵電穿隧接面(FTJ)記憶體元件。參考步驟1110及圖1至圖3以及圖7至圖10,於基底9上方可沈積包含底部電極材料層130L、鐵電穿隧接面材料層(140L、150L)以及頂部電極材料層160L的層堆疊(130L、140L、150L、160L、162L)。鐵電穿隧接面材料層(140L、150L)包括至少一連續鐵電材料層150L及至少一連續穿隧介電層140L。參考步驟1120及圖4以及圖7至圖9,可利用蝕刻罩幕177遮罩層堆疊(130L、140L、150L、160L、162L)的區。參考步驟1130及圖4至圖9,可藉由蝕刻層堆疊(130L、140L、150L、160L、162L)的未被蝕刻罩幕177遮罩的未遮罩部分來形成鐵電穿隧接面(FTJ)記憶胞101。
參考所有圖式及根據本揭露內容的各種實施例,提供一種鐵電穿隧接面(FTJ)記憶體元件,其包括:底部電極130,位於基底9上方;頂部電極160,上覆於底部電極130;以及鐵電穿隧接面記憶體部件(140、150),位於底部電極130與頂部電極160之間且包含至少一鐵電材料層150及至少一穿隧介電層140。
在一實施例中,所述至少一穿隧介電層中的每一者包括多晶氧化鎂晶粒。在一實施例中,所述多晶氧化鎂晶粒具有主要結晶取向,所述主要結晶取向為<001>方向且垂直於所述至少一鐵電材料層中的一者與所述至少一穿隧介電層中的一者之間的水平界面。在一實施例中,所述至少一穿隧介電層中的每一者的側壁與所述至少一鐵電材料層中的一者的側壁豎直地重合。在一實施例中,更包括介電材料層,其中所述底部電極、所述頂部電極以及鐵電穿隧接面記憶胞形成於所述介電材料層中,且所述介電材 料層橫向地環繞所述底部電極、所述頂部電極以及所述鐵電穿隧接面記憶胞。在一實施例中,更包括:連接通孔結構,形成於連接通孔層級介電層內且接觸所述底部電極的底表面;以及金屬內連線結構,包含電連接至所述頂部電極的金屬通孔部分。在一實施例中,更包括位於所述基底與所述底部電極之間的第一電晶體,其中所述第一電晶體的源極區或汲極區藉由至少一金屬內連線結構的集合電連接至所述底部電極或所述頂部電極。在一實施例中,所述至少一鐵電材料層及所述至少一穿隧介電層包含彼此接觸的鐵電材料層及穿隧介電層;所述鐵電材料層及所述穿隧介電層中的一者接觸所述頂部電極;且所述鐵電材料層及所述穿隧介電層中的另一者接觸所述底部電極。在一實施例中,所述鐵電穿隧接面記憶體部件包括多個穿隧介電層。在一實施例中,所述鐵電穿隧接面記憶體部件包括至少兩個重複的層堆疊,所述層堆疊包含穿隧介電層及鐵電材料層。在一實施例中,所述至少一鐵電材料層中的每一者包括選自以下的材料:纖鋅礦類鐵電氮化物材料、氧化鉿、氧化鉿鋯、鈦酸鋇、硬硼鈣石、鈦酸鉍、鈦酸銪鋇、鐵電聚合物、碲化鍺、無水鉀鎂礬、鉭酸鉛鈧、鈦酸鉛、鋯鈦酸鉛、鈮酸鋰、聚偏二氟乙烯、鈮酸鉀、酒石酸鉀鈉、磷酸氧鈦鉀、鈦酸鉍鈉、鉭酸鋰、鈦酸鉛鑭、鋯鈦酸鉛鑭、磷酸二氫銨以及磷酸二氫鉀。在一實施例中,所述鐵電穿隧接面記憶體部件包括多個鐵電材料層或多個穿隧介電層。
根據本揭露內容的另一實施例,提供一種鐵電穿隧接面(FTJ)記憶陣列,其包括:存取電晶體(700、800)陣列,位於基底9上或基底9上方;以及鐵電穿隧接面(FTJ)記憶胞101陣 列,上覆於存取電晶體(700、800)陣列或在存取電晶體(700、800)陣列之下或位於與存取電晶體(700、800)陣列相同的層級處,其中FTJ記憶胞101陣列內的每一FTJ記憶胞101包括:底部電極130;頂部電極160,上覆於底部電極130;以及鐵電穿隧接面(FTJ)記憶胞(140、150),位於底部電極130與頂部電極160之間且包含至少一鐵電材料層150及至少一穿隧介電層140,其中FTJ記憶胞101陣列內的每一FTJ記憶胞101電連接至存取電晶體(700、800)陣列內的各別存取電晶體(700、800)。
在一實施例中,更包括:連接通孔結構陣列,接觸選自所述FTJ記憶胞陣列的各別底部電極;以及金屬內連線結構陣列,包含電連接至選自所述FTJ記憶胞的各別頂部電極的各別金屬通孔部分。
本揭露內容的各種實施例可用於提供一種包含至少一鐵電材料層150的非揮發性記憶體元件。每一鐵電穿隧接面記憶胞101可按比例縮放以消耗數量級為幾fJ的切換功率。本揭露內容的記憶體元件中的每一鐵電穿隧接面記憶胞101內的穿隧介電層140的結晶氧化鎂材料相對於非晶型介電氧化物材料提供更優良的穿隧特性及更高的穿隧電阻比。舉例而言,氧化鋁以非晶型形式沈積,且後段製程(BEOL)結構上的熱約束防止400攝氏度以上的熱退火,此對於將非晶型氧化鋁材料轉化為結晶氧化鋁材料是必要的。本揭露內容的穿隧介電層140中的氧化鎂材料的結晶性質由於較大且均一的域均一性而提供相干電子穿隧及較大穿隧電阻(TER)。因此,穿隧介電層140的使用可增強本揭露內容的FTJ記憶胞101的效能。
根據本揭露內容的其他實施例,提供一種製造鐵電穿隧接面(FTJ)記憶體元件的方法,包括:在基底上方形成包含底部電極材料層、鐵電穿隧接面材料層以及頂部電極材料層的層堆疊,其中所述鐵電穿隧接面材料層包括至少一鐵電材料層及至少一穿隧介電層;利用蝕刻罩幕遮罩所述層堆疊的區;以及藉由蝕刻所述層堆疊的未由所述蝕刻罩幕遮罩的未遮罩部分來形成鐵電穿隧接面(FTJ)記憶胞。在一實施例中,所述至少一穿隧介電層中的每一者包括多晶氧化鎂晶粒。在一實施例中,所述蝕刻罩幕包括經圖案化光阻材料部分;且藉由執行非等向性蝕刻製程來蝕刻所述層堆疊的所述未遮罩部分。在一實施例中,更包括:在所述基底上方形成在連接通孔層級介電層內形成的連接通孔結構,其中所述層堆疊形成於所述連接通孔結構上方;在所述FTJ記憶胞上方及周圍形成介電材料層;以及形成包含穿過所述介電材料層的金屬通孔部分的金屬內連線結構,其中所述金屬內連線結構接觸所述頂部電極或電連接至所述頂部電極,所述頂部電極為所述頂部電極材料層的經圖案化部分。在一實施例中,更包括:在所述基底上方形成第一電晶體;以及形成在至少一介電材料層內形成的至少一金屬內連線結構的集合,其中由所述底部電極材料層圖案化所形成的底部電極藉由所述至少一金屬內連線結構的集合連接至所述第一電晶體。在一實施例中,所述至少一穿隧介電層包括多個穿隧介電層。
前文概述若干實施例的特徵,使得所屬技術領域具有通常知識者可更佳地理解本揭露內容的態樣。所屬技術領域中具有通常知識者應瞭解,其可易於使用本揭露內容作為用於設計或修 改用於實施本文中所引入的實施例的相同目的及/或達成相同優勢的其他製程及結構的基礎。所屬技術領域中具有通常知識者亦應認識到,此類等效構造並不脫離本揭露內容的精神及範圍,且所屬技術領域中具有通常知識者可在不脫離本揭露內容的精神及範圍的情況下在本文中作出各種改變、替代以及更改。
1110、1120、1130:步驟

Claims (9)

  1. 一種鐵電穿隧接面(FTJ)記憶體元件,包含:底部電極,位於基底上方;頂部電極,上覆於所述底部電極;以及鐵電穿隧接面記憶體部件,位於所述底部電極與所述頂部電極之間且包含至少一鐵電材料層及至少一穿隧介電層,其中所述至少一穿隧介電層中的每一者包括多晶氧化鎂晶粒。
  2. 如請求項1所述的鐵電穿隧接面記憶體元件,其中所述多晶氧化鎂晶粒具有主要結晶取向,所述主要結晶取向為<001>方向且垂直於所述至少一鐵電材料層中的一者與所述至少一穿隧介電層中的一者之間的水平界面。
  3. 如請求項1所述的鐵電穿隧接面記憶體元件,其中所述至少一穿隧介電層中的每一者的側壁與所述至少一鐵電材料層中的一者的側壁豎直地重合。
  4. 如請求項1所述的鐵電穿隧接面記憶體元件,更包括位於所述基底與所述底部電極之間的第一電晶體,其中所述第一電晶體的源極區或汲極區藉由至少一金屬內連線結構的集合電連接至所述底部電極或所述頂部電極。
  5. 如請求項1所述的鐵電穿隧接面記憶體元件,其中:所述至少一鐵電材料層及所述至少一穿隧介電層包含彼此接觸的鐵電材料層及穿隧介電層;所述鐵電材料層及所述穿隧介電層中的一者接觸所述頂部電極;且所述鐵電材料層及所述穿隧介電層中的另一者接觸所述底部 電極。
  6. 一種鐵電穿隧接面(FTJ)記憶陣列,包括:電晶體陣列,位於基底上或所述基底上方;以及鐵電穿隧接面(FTJ)記憶胞陣列,上覆於所述電晶體陣列,或在所述電晶體陣列之下,或位於與所述電晶體陣列相同的層級處,其中所述FTJ記憶胞陣列內的每一FTJ記憶胞包括:底部電極;頂部電極,上覆於所述底部電極;以及鐵電穿隧接面(FTJ)記憶胞,位於所述底部電極與所述頂部電極之間,且包含至少一鐵電材料層及至少一穿隧介電層,其中所述至少一穿隧介電層中的每一者包括多晶氧化鎂晶粒其中所述FTJ記憶胞陣列內的每一FTJ記憶胞電連接至所述電晶體陣列內的各別電晶體。
  7. 如請求項6所述的鐵電穿隧接面(FTJ)記憶陣列,更包括:連接通孔結構陣列,接觸選自所述FTJ記憶胞陣列的各別底部電極;以及金屬內連線結構陣列,包含電連接至選自所述FTJ記憶胞的各別頂部電極的各別金屬通孔部分。
  8. 一種製造鐵電穿隧接面(FTJ)記憶體元件的方法,包括:在基底上方形成包含底部電極材料層、鐵電穿隧接面材料層以及頂部電極材料層的層堆疊,其中所述鐵電穿隧接面材料層包括至少一鐵電材料層及至少一穿隧介電層,其中所述至少一穿隧 介電層中的每一者包括多晶氧化鎂晶粒;利用蝕刻罩幕遮罩所述層堆疊的區;以及藉由蝕刻所述層堆疊的未由所述蝕刻罩幕遮罩的未遮罩部分來形成鐵電穿隧接面(FTJ)記憶胞。
  9. 如請求項8所述的製造鐵電穿隧接面記憶體元件的方法,更包括:在所述基底上方形成在連接通孔層級介電層內形成的連接通孔結構,其中所述層堆疊形成於所述連接通孔結構上方;在所述FTJ記憶胞上方及周圍形成介電材料層;以及形成包含穿過所述介電材料層的金屬通孔部分的金屬內連線結構,其中所述金屬內連線結構接觸所述頂部電極或電連接至所述頂部電極,所述頂部電極為所述頂部電極材料層的經圖案化部分。
TW110121586A 2020-06-23 2021-06-15 使用氧化鎂穿隧介電質的鐵電穿隧接面記憶體元件及其形成方法 TWI763540B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202063042595P 2020-06-23 2020-06-23
US63/042,595 2020-06-23
US17/229,926 US11805657B2 (en) 2020-06-23 2021-04-14 Ferroelectric tunnel junction memory device using a magnesium oxide tunneling dielectric and methods for forming the same
US17/229,926 2021-04-14

Publications (2)

Publication Number Publication Date
TW202201698A TW202201698A (zh) 2022-01-01
TWI763540B true TWI763540B (zh) 2022-05-01

Family

ID=77997341

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110121586A TWI763540B (zh) 2020-06-23 2021-06-15 使用氧化鎂穿隧介電質的鐵電穿隧接面記憶體元件及其形成方法

Country Status (5)

Country Link
US (1) US11805657B2 (zh)
KR (1) KR102624988B1 (zh)
CN (1) CN113497044B (zh)
DE (1) DE102021111163A1 (zh)
TW (1) TWI763540B (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11502103B2 (en) 2018-08-28 2022-11-15 Intel Corporation Memory cell with a ferroelectric capacitor integrated with a transtor gate
US11257822B2 (en) 2019-11-21 2022-02-22 Intel Corporation Three-dimensional nanoribbon-based dynamic random-access memory
US20210391470A1 (en) * 2020-06-15 2021-12-16 Taiwan Semiconductor Manufacturing Company, Ltd. Layered structure, semiconductor device including the same, and manufacturing method thereof
US11980037B2 (en) 2020-06-19 2024-05-07 Intel Corporation Memory cells with ferroelectric capacitors separate from transistor gate stacks
US11832451B1 (en) 2021-08-06 2023-11-28 Kepler Computing Inc. High density ferroelectric random access memory (FeRAM) devices and methods of fabrication
US11942133B2 (en) 2021-09-02 2024-03-26 Kepler Computing Inc. Pedestal-based pocket integration process for embedded memory
US12069866B2 (en) 2021-09-02 2024-08-20 Kepler Computing Inc. Pocket integration process for embedded memory
US11961877B1 (en) 2021-12-14 2024-04-16 Kepler Computing Inc. Dual hydrogen barrier layer for trench capacitors integrated with low density film for logic structures
US11869928B2 (en) 2021-12-14 2024-01-09 Kepler Computing Inc. Dual hydrogen barrier layer for memory devices

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180182956A1 (en) * 2016-12-22 2018-06-28 SK Hynix Inc. Electronic device and method for fabricating the same
US20180226571A1 (en) * 2013-10-22 2018-08-09 Sony Corporation Memory cell structure, method of manufacturing a memory, and memory apparatus
US20190115353A1 (en) * 2016-04-01 2019-04-18 Intel Corporation Layer transferred ferroelectric memory devices
TW202013681A (zh) * 2018-09-19 2020-04-01 日商東芝記憶體股份有限公司 記憶裝置

Family Cites Families (23)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100487927B1 (ko) * 2003-07-21 2005-05-09 주식회사 하이닉스반도체 마그네틱 램의 형성방법
KR20150075602A (ko) * 2013-12-26 2015-07-06 삼성전자주식회사 자기 저항 메모리 장치 및 그 제조 방법
KR20150110999A (ko) * 2014-03-24 2015-10-05 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
KR102259870B1 (ko) * 2014-07-30 2021-06-04 삼성전자주식회사 자기 메모리 장치 및 그의 형성방법
KR20170012792A (ko) * 2015-07-24 2017-02-03 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
KR102433703B1 (ko) * 2015-11-30 2022-08-19 에스케이하이닉스 주식회사 전자 장치
EP3504738A4 (en) 2016-08-26 2020-09-02 INTEL Corporation INTEGRATED CIRCUIT DEVICE STRUCTURES AND DOUBLE-SIDED MANUFACTURING TECHNIQUES
WO2018039645A1 (en) * 2016-08-26 2018-03-01 Intel Corporation Integrated circuit device structures and double-sided fabrication techniques
KR102679942B1 (ko) * 2016-10-31 2024-07-03 에스케이하이닉스 주식회사 전자 장치 및 그 제조 방법
KR102631425B1 (ko) * 2017-02-03 2024-01-31 에스케이하이닉스 주식회사 전자 장치 및 그 형성 방법
JP2019054052A (ja) * 2017-09-13 2019-04-04 東芝メモリ株式会社 半導体記憶装置
JP2019057621A (ja) 2017-09-21 2019-04-11 東芝メモリ株式会社 記憶装置
US10991876B2 (en) 2018-10-31 2021-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Methods to improve magnetic tunnel junction memory cells by treating native oxide
US11476261B2 (en) * 2019-02-27 2022-10-18 Kepler Computing Inc. High-density low voltage non-volatile memory with unidirectional plate-line and bit-line and pillar capacitor
US11784251B2 (en) * 2019-06-28 2023-10-10 Intel Corporation Transistors with ferroelectric spacer and methods of fabrication
US20210111179A1 (en) * 2019-10-11 2021-04-15 Intel Corporation 3d-ferroelectric random access memory (3d-fram)
KR20200002754A (ko) 2019-12-27 2020-01-08 에스케이하이닉스 주식회사 다층 자성 박막 스택 및 이를 포함하는 데이터 저장 장치
CN111223873B (zh) 2020-01-16 2022-08-05 华中科技大学 非对称的铁电功能层阵列、铁电隧道结多值存储单元的制备方法
CN111211135B (zh) * 2020-01-16 2022-08-05 华中科技大学 一种非对称铁电隧穿结多值存储单元的调制方法
US20210272983A1 (en) * 2020-02-27 2021-09-02 Seagate Technology Llc Three-dimensional ferroelectric memory
US11450370B2 (en) * 2020-06-19 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric field-effect transistor (FeFET) memory
US11908504B2 (en) * 2021-04-13 2024-02-20 Seagate Technology Llc Front end buffer having ferroelectric field effect transistor (FeFET) based memory
US11527277B1 (en) * 2021-06-04 2022-12-13 Kepler Computing Inc. High-density low voltage ferroelectric memory bit-cell

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20180226571A1 (en) * 2013-10-22 2018-08-09 Sony Corporation Memory cell structure, method of manufacturing a memory, and memory apparatus
US20190115353A1 (en) * 2016-04-01 2019-04-18 Intel Corporation Layer transferred ferroelectric memory devices
US20180182956A1 (en) * 2016-12-22 2018-06-28 SK Hynix Inc. Electronic device and method for fabricating the same
TW202013681A (zh) * 2018-09-19 2020-04-01 日商東芝記憶體股份有限公司 記憶裝置

Also Published As

Publication number Publication date
CN113497044B (zh) 2024-04-09
KR20210158319A (ko) 2021-12-30
KR102624988B1 (ko) 2024-01-12
DE102021111163A1 (de) 2021-12-23
CN113497044A (zh) 2021-10-12
US11805657B2 (en) 2023-10-31
US20210398991A1 (en) 2021-12-23
TW202201698A (zh) 2022-01-01

Similar Documents

Publication Publication Date Title
TWI763540B (zh) 使用氧化鎂穿隧介電質的鐵電穿隧接面記憶體元件及其形成方法
US20220384459A1 (en) Ferroelectric memory device and method of forming the same
US11515332B2 (en) Ferroelectric memory device and method of forming the same
US11696448B2 (en) Memory device and method of forming the same
TWI830027B (zh) 記憶體裝置及其製造方法
US20190027537A1 (en) Interconnect capping process for integration of mram devices and the resulting structures
TWI817310B (zh) 半導體裝置與其形成方法
US20210375888A1 (en) Ferroelectric memory device and method of forming the same
US12057471B2 (en) Ferroelectric tunnel junction devices with a sparse seed layer and methods for forming the same
US20230371239A1 (en) Drain sharing for memory cell thin film access transistors and methods for forming the same
US11950427B2 (en) Ferroelectric memory device and method of forming the same
US11723284B2 (en) Top-interconnection metal lines for a memory array device and methods for forming the same
US20230369439A1 (en) Thin film transistor including a compositionally-graded gate dielectric and methods for forming the same
US20240023341A1 (en) Ferroelectric tunnel junction memory device using a magnesium oxide tunneling dielectric and methods for forming the same
US12058873B2 (en) Memory device including a semiconducting metal oxide fin transistor and methods of forming the same
US12040409B2 (en) Thin film transistor including a dielectric diffusion barrier and methods for forming the same
US20240081077A1 (en) Transistor, memory device and manufacturing method of memory device
US11985906B2 (en) Low-resistance contact to top electrodes for memory cells and methods for forming the same