TWI762166B - 半導體裝置和其製造方法 - Google Patents

半導體裝置和其製造方法 Download PDF

Info

Publication number
TWI762166B
TWI762166B TW110102928A TW110102928A TWI762166B TW I762166 B TWI762166 B TW I762166B TW 110102928 A TW110102928 A TW 110102928A TW 110102928 A TW110102928 A TW 110102928A TW I762166 B TWI762166 B TW I762166B
Authority
TW
Taiwan
Prior art keywords
gate
isolation
pair
structures
gate structures
Prior art date
Application number
TW110102928A
Other languages
English (en)
Other versions
TW202143443A (zh
Inventor
陳朝碩
張嘉德
李宜靜
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/937,297 external-priority patent/US11521969B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202143443A publication Critical patent/TW202143443A/zh
Application granted granted Critical
Publication of TWI762166B publication Critical patent/TWI762166B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823878Complementary field-effect transistors, e.g. CMOS isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0928Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors comprising both N- and P- wells in the substrate, e.g. twin-tub

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Element Separation (AREA)

Abstract

本公開揭示了一種具有隔離結構的半導體裝置及製造此種半導體裝置的方法。半導體裝置包括配置在基板上的第一及第二鰭片結構,以及配置在第一及第二鰭片結構上的第一及第二對閘極結構。第一對閘極結構的第一端面面對第二對閘極結構的第二端面。第一對閘極結構的第一端面及第二對閘極結構的第二端面分別與隔離結構的第一及第二側壁實體接觸。半導體裝置進一步包括插入第一及第二對閘極結構之間的隔離結構。隔離結構的深寬比小於第一對閘極結構的組合深寬比。

Description

半導體裝置和其製造方法
本公開涉及半導體裝置和其製造方法,特別是涉及包括隔離結構的半導體裝置和其製造方法。
隨著半導體技術的進步,對於更高儲存能力、更快處理系統、更高效能及更低成本的需求不斷增長。為了滿足這些需求,半導體工業持續縮小半導體裝置的尺寸,諸如金屬氧化物半導體場效應電晶體(metal oxide semiconductor field effect transistor,MOSFET),其包括平面MOSFET、鰭式場效應電晶體(fin field effect transistor,finFET)及用於半導體裝置的互連結構。這樣的尺寸縮小增加了半導體製造製程的複雜性。
根據本公開的實施例提供一種半導體裝置,包括基板、配置在基板上的第一鰭片結構及第二鰭片結構、配置在第一鰭片結構上的第一對閘極結構、配置在第二鰭片結 構上的第二對閘極結構,以及插入於第一對閘極結構及第二對閘極結構之間的隔離結構。第一對閘極結構的第一端面面對第二對閘極結構的第二端面。第一對閘極結構的第一端面與隔離結構的第一側壁實體接觸,並且第二對閘極結構的第二端面與隔離結構的第二側壁實體接觸。隔離結構的深寬比小於第一對閘極結構的組合深寬比。
根據本公開的實施例提供一種半導體裝置,包括基板、配置在基板上的第一鰭片結構及第二鰭片結構、配置在第一鰭片結構上的第一對閘極結構、配置在第二鰭片結構上的第二對閘極結構、插入第一對閘極結構的第一端面與第二對閘極結構的第二端面之間並且插入第一鰭片結構及第二鰭片結構之間的隔離結構,以及配置在第一磊晶區域及第二磊晶區域及隔離結構上的接觸結構。第一鰭片結構及第二鰭片結構分別包括第一磊晶區域及第二磊晶區域。第一對閘極結構的第一端面面對第二對閘極結構的第二端面。第一磊晶區域插入於第一對閘極結構的第一側壁之間,並且第二磊晶區域插入於第二對閘極結構的第二側壁之間。隔離結構的深寬比小於第一對閘極結構的組合深寬比。
根據本公開的實施例提供一種製造半導體裝置的方法,包括在配置於基板上的第一鰭片結構及第二鰭片結構上形成第一閘極結構及第二閘極結構、形成隔離溝槽橫跨第一閘極結構及第二閘極結構,及在隔離溝槽之內形成隔離結構。隔離溝槽將第一閘極結構分隔為彼此電性隔離的第一對閘極結構,並且將第二閘極結構分隔為彼此電性 隔離第二對閘極結構。形成隔離溝槽包括形成延伸至基板中第一距離的第一溝槽部分,及形成延伸至基板中第二距離的第二溝槽部分,其中第二距離短於第一距離。
100:半導體裝置
101:finFET
102:finFET
104:隔離結構
104*:隔離溝槽
104**:隔離結構
104A:隔離部分
104A*:溝槽部分
104B:隔離部分
104B*:溝槽部分
104C:隔離部分
105A,105B:層
106:基板
107:鰭片結構
107A:鰭片區域
107B:磊晶區域
108:鰭片結構
108A:鰭片區域
108B:磊晶區域
112*:多餘的閘極部分
112A:閘極結構
112B:閘極結構
112BD:閘極結構
112C:閘極結構
112CE:閘極結構
112D:閘極結構
112E:閘極結構
112F:閘極結構
114:閘極間隔物
116:蝕刻終止層
118:層間介電層
120:STI區域
120b:STI表面
122:S/D接觸結構
122*:開口
122**:S/D接觸結構
205:步驟
210:步驟
215:步驟
220:步驟
424:遮罩層
424*:開口
524*:開口
826:遮罩層
826*:開口
828:空腔
A-A,B-B,C-C,D-D,E-E,F-F,G-G,H-H,I-I:線
D1,D2:距離
FP:鰭片間距
GH:閘極高度
GL:閘極長度
GP:閘極間距
H1,H2,H3,H4:高度
L1:長度
W1:寬度
X,Y,Z:軸
當結合附圖閱讀時,從以下詳細描述中可以最好地理解本公開的各方面。應注意,根據工業中的標準方法,各種特徵未按比例繪製。實際上,為了清楚地討論,可任意增加或減少各種特徵的尺寸。
第1A圖根據一些實施例繪示具有隔離結構的半導體裝置的等角視圖。
第1B圖至第1E圖根據一些實施例繪示具有隔離結構的半導體裝置的俯視圖及橫截面圖。
第1F圖至第1I圖根據一些實施例繪示具有隔離結構的半導體裝置的俯視圖及橫截面圖。
第1J圖至第1M圖根據一些實施例繪示具有隔離結構的半導體裝置的俯視圖及橫截面圖。
第2圖為根據一些實施例的用於製造具有隔離結構的半導體裝置之方法的流程圖。
第3A圖至第10D圖根據一些實施例繪示具有隔離結構的半導體裝置在製造製程的各個階段的橫截面圖。
現將參考附圖描述說明性實施例。在圖式中,相同的元件符號通常指示相同的、功能性類似及/或結構性類似的元件。
為了實現提及主題的不同特徵,以下公開內容提供了許多不同的實施例或示例。以下描述組件、配置等的具體示例以簡化本公開。當然,這些僅僅是示例,而不是限制性的。例如,在以下的描述中,在第二特徵之上或上方形成第一特徵可以包括第一特徵和第二特徵以直接接觸形成的實施例,並且還可以包括在第一特徵和第二特徵之間形成附加特徵,使得第一特徵和第二特徵可以不直接接觸的實施例。在本文中,在第二特徵上形成第一特徵表示第一特徵和第二特徵以直接接觸形成。另外,本公開可以在各種示例中重複參考數字和/或字母。此重複是為了簡單和清楚的目的,並且本身並不表示所討論的各種實施例和/或配置之間的關係。
本文可以使用空間相對術語,諸如「在…下面」、「在…下方」、「下部」、「在…上面」、「上部」等,以便於描述一個元件或特徵與如圖所示的另一個元件或特徵的關係。除了圖中所示的取向之外,空間相對術語旨在包括使用或操作中的裝置的不同取向。裝置可以以其他方式定向(旋轉90度或在其他方向上),並且同樣可以相應地解釋在此使用的空間相對描述符號。
應注意,在說明書中對「一實施例」、「實施例」、「示例性實施例」、「示例性」等參考,表示所述實施例可包括特定的特徵、結構或特性,但每一實施例可以非必 須包括特定特徵、結構或特性。此外,這些用語不必代表相同實施例。另外,當結合實施例描述特定特徵、結構或特性時,無論是否明確描述,此實施例應在用以結合其他實施例來實現該特徵、結構或特性的本領域技術人員的知識範圍內。
應理解,本文中使用的措辭或術語用於描述而非限制性目的,以使得相關領域的技術人員將根據本文的教示解釋本說明書的術語或措辭。
如本文中所使用,術語「蝕刻選擇性」代表在相同蝕刻條件下的兩種不同材料之蝕刻速率的比率。
如本文中所使用,術語「高k」代表高介電常數。在半導體裝置結構及製造製程的領域中,高介電常數代表大於SiO2的介電常數(例如,大於3.9)的介電常數。
如本文中所使用,術語「低k」代表低介電常數。在半導體裝置結構及製造製程的領域中,低介電常數代表小於SiO2的介電常數(例如,小於3.9)的介電常數。
如本文中所使用,術語「p型」定義摻雜有p型摻雜劑(諸如硼)的結構、層及/或區域。
如本文中所使用,術語「n型」定義摻雜有n型摻雜劑(諸如磷)的結構、層及/或區域。
如本文中所使用,術語「導電」代表電性可傳導的結構、層及/或區域。
如本文中所使用,「閘極間距」代表相鄰閘極結構之間的距離與相鄰閘極結構之一者的閘極長度的總和。
在一些實施例中,術語「大約」及「實質上」可指示在給定值的5%(例如,值的±1%、±2%、±3%、±4%、±5%)之內變化的值。這些值僅為示例且並不意欲做為限制。術語「大約」及「實質上」可代表根據本文的教示,如由相關領域的技術人員解釋的值的百分比。
本文揭示的鰭片結構可由任何適當的方法圖案化。例如,鰭片結構可藉由使用一或多個微影製程圖案化,此微影製程包括雙圖案化或多圖案化製程。通常,雙圖案化或多圖案化製程結合微影及自對準製程,例如使具有間距的圖案得以產生,且這些間距小於使用單個直接微影製程可獲得的間距。例如,在一些實施例中,形成犧牲層在基板上並且使用微影製程圖案化。使用自對準製程沿著圖案化犧牲層形成間隔物。隨後移除犧牲層,並且剩餘間隔物可隨後用於圖案化鰭片結構。
鰭式場效應電晶體(fin field effect transistor,finFET)中的閘極結構可在兩個或兩個以上finFET上方延伸。例如,閘極結構可形成為長閘極結構,並延伸橫跨finFET的主動區域(例如,鰭片區域)。一旦形成閘極結構,圖案化製程可根據所需結構將長閘極結構的一或多者「切割」為較短區段。換言之,圖案化製程可移除一或多個長閘極結構之多餘閘極部分,以在finFET之間形成一或多個隔離溝槽(亦稱為「金屬切割槽(metal cut)」),並且將長閘極結構分離為較短區段。此製程稱為切割金屬閘極(cut-metal-gate,CMG)製程。隨後,可 在形成於長閘極結構的分離區段之間的隔離溝槽填充介電材料以形成隔離結構。隔離結構可將分離的閘極結構區段電性隔離。
隨著半導體技術的尺寸縮小,增加了閘極結構的深寬比,導致CMG製程的複雜性增加。例如,閘極結構的高深寬比使得從隔離溝槽之底部及/或角落移除多餘的閘極部分成為挑戰。在隔離溝槽中任何殘留閘極部分的存在都阻止了隨後形成的隔離結構將分離的閘極結構區段電性隔離。
本公開提供了用於提高裝置製造製程控制的半導體裝置中的示例性隔離結構,以及用於製造此種隔離結構的示例性方法。在一些實施例中,形成隔離結構可藉由以介電質填充具有一深寬比及/或一水平尺寸(例如,沿著X軸及/或Y軸)之隔離溝槽,此深寬比小於一或多個閘極結構的深寬比,此水平尺寸大於閘極結構的閘極間距。形成此隔離溝槽可藉由自閘極結構移除兩個或兩個以上多餘的閘極部分並且移除介電層(諸如閘極間隔物、蝕刻終止層及在相鄰閘極結構之間的層間介電(interlayer dielectric,ILD)層。隔離溝槽之較小深寬比有助於利用簡化的蝕刻製程(依據所需步驟的數目)有效地自難以蝕刻的位置(諸如隔離溝槽之角落及/或底部)移除多餘的閘極部分,如此進而降低了裝置製造成本。此隔離溝槽亦可有助於以更快的沉積速率有效地填充難以填充的位置(諸如隔離溝槽之角落及/或底部),如此進而降低總體製程時 間及裝置製造成本。因此,與具有與閘極結構相似深寬比及/或水平尺寸的隔離結構相比,具有比閘極結構更小的深寬比的隔離結構可使用更好的CMG製程控制來形成。
藉由使用單個隔離結構同時切割多個長閘極結構來進一步提高裝置製造製程控制。以單個隔離結構同時切割多個長閘極結構之製程可消除CMG製程相關的變動性,並且消除以較小隔離結構(例如,沿著X軸的長度小於閘極間距)切割單個閘極結構有關的CMG製程相關的複雜性。降低半導體裝置之finFET間的製程相關變動性以及製程相關複雜性可減少finFET間的效能變動性及裝置製造成本。
此外,隔離結構可延伸至基板中並且提供在finFET下的p井區域與n井區域之間的電性隔離。而且,隔離結構可在源極/汲極(source/drain,S/D)接觸結構的形成期間做為蝕刻終止層以控制S/D接觸結構的高度。若高度大於約20nm,則S/D接觸結構可與相鄰的閘極結構形成寄生電容器,進而在finFET中產生不期望的寄生電容。寄生電容可能不利地影響裝置效能,諸如不利地影響finFET的閾值電壓。因此,藉由使用隔離結構來進一步改良finFET製造製程控制。
根據一些實施例,參考第1A圖至第1M圖描述具有finFET101至finFET102的半導體裝置100。第1A圖根據一些實施例繪示半導體裝置100的等角視圖。根據各種實施例,半導體裝置100可具有如第1B圖至第1M 圖中所示的不同的俯視圖及橫截面圖。儘管參考第1A圖至第1M圖論述了兩個finFET,但是半導體裝置100可具有任何數目的finFET。finFET101至finFET102可為n型、p型或上述兩者之組合。除非另有說明,否則具有相同標記之finFET101至finFET102的元件的論述彼此適用。
參考第1A圖,finFET101至finFET102可形成在基板106上。基板106可為半導體材料,諸如矽、鍺(Ge)、矽鍺(SiGe)、絕緣體上矽(silicon-on-insulator,SOI)結構和上述材料的組合。此外,基板106可摻雜有p型摻雜劑(例如,硼、銦、鋁或鎵)或n型摻雜劑(例如,磷或砷)。在一些實施例中,當finFET101至finFET102具有不同導電類型時,基板106可包括n井區域及p井區域(未繪示)。例如,p型finFET101可形成在基板106的n井區域上,並且n型finFET102可形成在基板106的p井區域上。
finFET101可包括沿著X軸延伸的鰭片結構107及沿著Y軸延伸且配置在鰭片結構107上的閘極結構112B至閘極結構112C。類似地,finFET102可包括沿著X軸延伸的鰭片結構108及沿著Y軸延伸且配置在鰭片結構108上的閘極結構112D至閘極結構112E。在一些實施例中,除了獨立控制的閘極結構112B至閘極結構112E之外,finFET101至finFET102可進一步包括配置在鰭片結構107至鰭片結構108兩者上的共用閘極結構 112A及閘極結構112F。鰭片結構107與鰭片結構108可藉由介電結構彼此電性隔離,介電結構諸如蝕刻終止層(etch stop layer,ESL)116、層間介電層118,及淺溝槽隔離(shallow trench isolation,STI)區域120。蝕刻終止層116、層間介電層118及STI區域120可包括介電材料,諸如氧化矽、氮化矽、氧化矽鍺及上述材料的組合。閘極結構112A至閘極結構112F可藉由閘極間隔物114、蝕刻終止層116及層間介電層118彼此電性隔離。閘極間隔物114可包括絕緣材料,諸如氧化矽、氮化矽、氮氧化矽、低介電常數材料及上述材料的組合。
在一些實施例中,除了閘極間隔物114、蝕刻終止層116及層間介電層118之外,閘極結構112B至閘極結構112C可藉由隔離結構104與閘極結構112D至閘極結構112E電性隔離,以向finFET101至finFET102的每一者提供獨立控制的閘極結構。隔離結構104可在CMG製程(在下文中進一步詳細描述)中形成以將在鰭片結構107至鰭片結構108上形成的長閘極結構(例如,沿著Y軸)切割為較短的閘極結構(諸如閘極結構112B至閘極結構112E)。與其他一次性形成較短閘極結構的finFET製造方法相比,此形成較短閘極結構的方式提供了更好的finFET製造製程控制。自同一原始閘極結構形成較短閘極結構可消除與形成多個較短閘極結構(如閘極結構112B至閘極結構112E)相關聯的製程相關變動性(例如,在圖案化、層沉積、平坦化等期間)。
藉由使用隔離結構104同時切割多個長閘極結構來進一步提高finFET製造製程控制。例如,如第1A圖中所示,沿著X軸的長度大於閘極間距的隔離結構104可同時將兩個長閘極結構切割為四個較短閘極結構112B至閘極結構112E。儘管隔離結構104繪示為切割兩個長閘極結構,但是隔離結構104可沿著X軸延伸以將多於兩個的閘極結構(例如,切割閘極結構112A及/或閘極結構112F)切割為finFET101至finFET102的較短閘極結構。以隔離結構同時切割多個長閘極結構之製程可消除CMG製程相關變動性,並且消除以較小隔離結構(例如,沿著X軸的長度小於閘極間距)切割單個閘極結構所造成的CMG製程相關複雜性(上文論述)。降低finFET101至finFET102上的製程相關變動性以及製程相關複雜性可減少finFET101至finFET102上的效能變動性及裝置製造成本。儘管論述了一個隔離結構104,但是半導體裝置100可具有任何數目的隔離結構104。
第1B圖繪示沒有層間介電層118及蝕刻終止層116在鰭片結構107至鰭片結構108上的半導體裝置100之俯視圖,使得鰭片結構107至鰭片結構108可見。第1C圖至第1E圖繪示沿著第1B圖的線A-A、線B-B及線C-C的橫截面圖。
參考第1A圖至第1E圖,鰭片結構107至鰭片結構108可包括鰭片區域107A至鰭片區域108A及配置在各個鰭片區域107A至鰭片區域108A上的磊晶區域 107B至磊晶區域108B。與在閘極結構112A至閘極結構112F下方的鰭片區域107A至鰭片區域108A的部分相比,在磊晶區域107B至磊晶區域108B下方的鰭片區域107A至鰭片區域108A的部分可凹陷至STI區域120之內。鰭片區域107A至鰭片區域108A可包括與基板106類似的材料,並且可由圖案化與蝕刻基板106形成。在一些實施例中,鰭片區域107A至鰭片區域108A可包括與基板106不同的半導體材料,並且可由圖案化與蝕刻在基板106上生長的磊晶層形成。在一些實施例中,鰭片區域107A至鰭片區域108A可具有帶有結晶微結構的半導體材料,例如不是非晶質或非多晶微結構。
磊晶區域107B至磊晶區域108B形成在各個鰭片區域107A至鰭片區域108A的部分上,磊晶區域107B至磊晶區域108B未由閘極結構112A至閘極結構112F所覆蓋。磊晶區域107B至磊晶區域108B可為各個finFET101至finFET102的源極/汲極(S/D)區域,並且可包括彼此相似或不同的磊晶生長半導體材料。在一些實施例中,磊晶生長半導體材料可包括與基板106的材料相同的材料或不同的材料。取決於finFET101至finFET102的導電類型,磊晶區域107B至磊晶區域108B可包括硼(B)摻雜的SiGe、B摻雜的Ge或B摻雜的鍺矽(GeSn)(對於p型finFET101至finFET102),以及碳摻雜的Si(Si:C)、磷摻雜的Si(Si:P)或砷摻雜的Si(Si:As)(對於n型finFET101至finFET102)。此 外,磊晶區域107B至磊晶區域108B可包括具有不同摻雜劑濃度及/或不同材料組成的多個層(例如,兩層、三層或更多層)。
閘極結構112A至閘極結構112F藉由閘極間隔物114與磊晶區域107B至磊晶區域108B隔離。閘極結構112A至閘極結構112F可為多層結構。為了簡化起見,未繪示閘極結構112A至閘極結構112F的不同層。閘極結構112A至閘極結構112F的每一者包括界面氧化(interfacial oxide,IO)層、在IO層上的高介電常數閘極介電層、在高介電常數介電層上的功函數金屬(work function metal,WFM)層,及在WFM層上的閘極金屬填充層。IO層可包括氧化矽(SiO2)、氧化矽鍺(SiGeOx)或氧化鍺(GeOx)。高介電常數閘極介電層可包括高介電常數介電材料,諸如氧化鉿(HfO2)、氧化鈦(TiO2)、氧化鋯鉿(HfZrO)、氧化鉭(Ta2O3)、矽酸鉿(HfSiO4)、氧化鋯(ZrO2)及矽酸鎬(ZrSiO2)。WFM層可包括鈦鋁(TiAl)、碳化鈦鋁(TiAlC)、鉭鋁(TaAl)、碳化鉭鋁(TaAlC)及上述各者的組合。閘極金屬填充層可包括適當的導電材料,諸如鎢(W)、鈦、銀(Ag)、釕(Ru)、鉬(Mo)、銅(Cu)、鈷(Co)、鋁、銥(Ir)、鎳(Ni)、金屬合金及上述材料的組合。
參考第1B圖至第1E圖,隔離結構104可以形成深寬比是比各個閘極結構(例如閘極結構112A至閘極結構112F)的深寬比更小,或者比兩個或兩個以上相鄰的閘 極結構(例如閘極結構112A至閘極結構112F)的組合深寬比更小,以改良如上文論述的CMG製程控制。為了達成較小的深寬比,可以形成隔離結構104具有沿著X軸的長度L1等於或大於閘極結構112A至閘極結構112F的至少一個閘極間距(例如,第1B圖中所示的閘極間距GP),並且具有沿著Z軸的高度H1(或高度H2)大於閘極結構112A至閘極結構112F的閘極高度(例如,第1C圖中所示的閘極高度GH)。此外,隔離結構104可具有沿著Y軸的寬度W1小於鰭片間距(例如,第1B圖中所示的鰭片間距FP)。閘極間距經定義為在相鄰閘極結構之間的沿著X軸的距離與相鄰閘極結構之一者的閘極長度(例如,第1B圖中所示的閘極長度GL)的總和。鰭片間距經定義為在相鄰鰭片結構之間的沿著Y軸的距離與相鄰鰭片結構之一者的沿著Y軸的鰭片寬度的總和。隔離結構104的深寬比經定義為其高度H1或高度H2與其長度L1的比率。每一閘極結構112A至閘極結構112F的深寬比經定義為其閘極高度GH與其閘極長度GL的比率。
隔離結構104的隔離部分104A至隔離部分104B可在半導體裝置100的不同區域處具有不同高度(例如,第1C圖中所示的高度H1至高度H2)。在一些實施例中,在閘極結構112B與閘極結構112D之間,及在閘極結構112C與閘極結構112E之間的隔離部分104A可延伸至基板106中,而隔離部分104B延伸至STI區域120中並且不延伸至基板106中,如第1C圖至第1E圖 中所示。在一些實施例中,隔離部分104A至隔離部分104B可同時延伸至基板106中(未繪示),但是隔離部分104A可比隔離部分104B更深地延伸至基板106中。各個隔離部分104A至隔離部分104B的不同高度H1至高度H2為用於形成隔離結構104的蝕刻製程的結果,下文將進一步詳述。在基板106中沿著X軸的隔離部分104A的水平尺寸可對應於閘極結構112B至閘極結構112E的閘極長度。在STI區域120中沿著X軸的隔離部分104B的水平尺寸可對應於閘極結構112B至閘極結構112C之間或閘極結構112D至閘極結構112E之間的距離。
在一些實施例中,高度H1可大於高度H2約65nm至約250nm。隔離部分104A可延伸至基板106中STI表面120b下方約5nm至約250nm的距離D1。隔離部分104B的底表面可在STI表面120b上方約10nm至約60nm之間的距離D2、在STI表面120b下方約10nm的距離(未繪示),或在STI表面120b處。隔離結構104可具有範圍自約80nm至約140nm的長度L1。在用以形成隔離結構104的介電質填充製程(下文詳述)之前,隔離結構104的這些尺寸範圍提供了用於有效移除多餘閘極部分的深寬比。若長度L1短於80nm、距離D1短於5nm及/或距離D2在STI表面120b上方大於60nm,則隔離結構104的深寬比可能不足以有效移除多餘的閘極部分。另一方面,若長度L1大於140nm、距離D1大於250nm及/或距離D2在STI表面120b 下方大於10nm,則用以形成隔離結構104的製程時間(例如,蝕刻及介電質填充時間)增加,如此增加了裝置製造成本。
此外,當p井區域及n井區域分別形成於finFET101至finFET102下方的基板106的部分中時,延伸距離D1至基板106中的隔離部分104A的區域可在p井區域與n井區域(繪示於第1D圖中)之間提供電性隔離。因此,若距離D1短於5nm,則在p井區域與n井區域之間可能存在漏電流。儘管第1D圖繪示分別在finFET101至finFET102中的p井區域與n井區域,但是finFET101至finFET102可均具有n井區域或p井區域(未繪示)或可均不具有任何井區域。
在一些實施例中,隔離結構104之側表面及底表面可具有如在第1C圖至第1E圖中以虛線所示的輪廓,來代替在第1C圖至第1E圖中以筆直實線所示的輪廓。在第1C圖至第1E圖中以虛線示出的錐形側表面及/或彎曲底表面可歸因於用於形成隔離結構104的蝕刻製程(下文中進一步詳述)。在一些實施例中,隔離結構104可包括一或多個介電材料,諸如氮化矽、氧化矽、碳氧化矽,及上述材料的組合。
第1F圖繪示當存在S/D接觸結構122時的半導體裝置100的俯視圖。第1G圖至第1I圖繪示沿著第1F圖的線D-D、線E-E及線F-F的橫截面圖。除非另外提及,否則在第1B圖至第1I圖中具有相同註解的元件的論 述可彼此適用。
在一些實施例中,可橫跨鰭片結構107至鰭片結構108形成S/D接觸結構122,以將磊晶區域107B至磊晶區域108B電性連接至finFET101至finFET102及/或積體電路(未繪示)的其他元件。S/D接觸結構122可包括導電材料,諸如釕(Ru)、銥(Ir)、鎳(Ni)、鋨(Os)、銠(Rh)、鋁(Al)、鉬(Mo)、鎢(W)、鈷(Co)及銅(Cu)。
在一些實施例中,在隔離結構104上的S/D接觸結構122的部分可具有沿著Z軸的高度H3,並且在磊晶區域107B至磊晶區域108B上的S/D接觸結構122的部分可具有沿著Z軸的高度H4,其中高度H3大於高度H4或者高度H3實質上等於高度H4。在一些實施例中,高度H3至高度H4可在自約5nm至約20nm的範圍內。若高度H3至高度H4小於5nm,則S/D接觸結構122的導電材料可能太薄,以致於S/D接觸結構122的導電性不足。另一方面,若高度H3大於20nm,則S/D接觸結構122可與閘極結構112B至閘極結構112F形成寄生電容器,如此進而在finFET101至finFET102中產生不期望的寄生電容。寄生電容可能不利地影響裝置效能,諸如不利地影響finFET101至finFET102的閾值電壓。
為了控制S/D接觸結構122的高度H3,隔離結構104在S/D接觸結構122之形成期間可做為蝕刻終止層,此舉將在下文中進一步詳述。用導電材料填充接觸開口以形成S/D接觸結構122之前,隔離結構104在形成 接觸開口時可做為蝕刻終止層,防止在鰭片結構107至鰭片結構108之間的層間介電層118的過度蝕刻。因此,進一步藉由隔離結構104來改良finFET製造製程控制。
第1J圖繪示當存在S/D接觸結構122及S/D接觸結構122**時的半導體裝置100的俯視圖。第1L圖至第1M圖繪示沿著第1J圖的線G-G、線H-H及線I-I的橫截面圖。除非另外提及,否則在第1B圖至第1M圖中具有相同註解的元件的論述可彼此適用。除非另外提及,否則S/D接觸結構122及S/D接觸結構122**的論述彼此適用。除非另外提及,在第1A圖至第1I圖中的隔離結構104的論述適用於第1J圖至第1M圖中的隔離結構104**。
可藉由沿著X軸延伸出隔離結構104的隔離部分104C來形成隔離結構104**,如第1K圖中所示。藉由移除蝕刻終止層116、層間介電層118以及在隔離結構104與閘極結構112A和閘極結構112F之間的STI區域120的部分來形成隔離部分104C。隔離部分104C類似於隔離部分104B,在S/D接觸結構122**之形成中可做為用於製程控制的蝕刻終止層。
第2圖為根據一些實施例的用於製造半導體裝置100之示例性方法200的流程圖。為了說明之目的,將參考第3A圖至第10D圖來描述第2圖中所示的步驟。第3A圖至第10D圖為根據一些實施例,在製造半導體裝置100的各個階段的俯視圖。第3B圖、第3C圖、第3D圖 及第10B圖、第10C圖、第10D圖為根據一些實施例,在製造半導體裝置100的各個階段的沿著第3A圖、第10A圖的線D-D、線E-E及線F-F的橫截面圖。可取決於特定應用以不同的次序執行或不執行步驟。應注意,方法200可能不產生完整的半導體裝置100。因此應理解,可在方法200之前、期間及之後提供額外的製程,並且在本文中可能僅簡要描述一些其他製程。第3A圖至第10D圖中與第1A圖至第1M圖中元件具有相同註解的元件已在上文中描述。
在步驟205中,形成finFET的鰭片結構及閘極結構。例如,如第3A圖至第3D圖中所示,具有鰭片區域107A至鰭片區域108A及磊晶區域107B至磊晶區域108B的鰭片結構107至鰭片結構108可形成在基板106上,並且閘極結構112A、閘極結構112BD、閘極結構112CE及閘極結構112F可形成在鰭片區域107A至鰭片區域108A上。閘極結構112BD至閘極結構112CE將在後續製程中經切割形成閘極結構112B至閘極結構112E及隔離結構104。鰭片結構107至鰭片結構108的形成可包括以下連續操作,圖案化基板106以形成鰭片區域107A至鰭片區域108A,在鰭片區域107A至鰭片區域108A的各部分上形成多晶矽閘極結構(未繪示),此些區域將具有在後續製程中形成的閘極結構112BD、閘極結構112CE、閘極結構112A及閘極結構112F,回蝕未由多晶矽閘極結構覆蓋的鰭片區域107A至鰭片區域108A 的部分,在經回蝕的鰭片區域107A至鰭片區域108A上形成磊晶區域107B至磊晶區域108B,及以閘極結構112A、閘極結構112BD、閘極結構112CE,及閘極結構112F替換多晶矽閘極結構。
參考第2圖,在步驟210中,形成橫跨閘極結構的至少兩者的隔離溝槽。例如,可形成橫跨閘極結構112BD及閘極結構112CE的隔離溝槽104*,如參考第4A圖至第6D圖所描述。隔離溝槽104*的形成可包括以下連續操作,圖案化第3A圖至第3D圖的結構上的遮罩層424以形成開口424*,通過開口424*蝕刻閘極結構112BD至閘極結構112CE的多餘的閘極部分112*及多餘的介電部分。多餘的介電部分包括在多餘的閘極部分112*的側壁上的閘極間隔物114及蝕刻終止層116的部分,以及在多餘的閘極部分112*之間的層間介電層118的部分。
在一些實施例中,遮罩層424為光阻劑材料,此光阻劑材料經旋塗於第3A圖至第3D圖的結構上且隨後經圖案化以形成開口424*。在一些實施例中,遮罩層424為氮化矽層,或者可充當蝕刻遮罩並且防止第3A圖至第3D圖之結構的經遮罩區域被蝕刻的任何其他適當材料。開口424*暴露多餘的閘極部分112*及多餘的介電質部分,此些部分在後續製程中將移除以形成隔離溝槽104*。在一些實施例中,開口424*可沿著X軸進一步延伸以暴露在多餘的閘極部分112*與閘極結構112A及閘極結構112B 之間的層間介電層118的部分,以形成更長的隔離溝槽104*,並且從而形成如隔離結構104**的更長隔離結構(上文參考第1K圖論述)。
通過開口424*移除暴露的結構之蝕刻製程可包括循環製程,其中每一循環包括兩個蝕刻步驟。第一蝕刻步驟可包括使用第一蝕刻劑的乾式蝕刻製程,相較於對多餘的閘極部分112*的金屬材料,第一蝕刻劑對層間介電層118的材料(例如,SiO2)具有更高的蝕刻選擇性。第一蝕刻劑可包括氟化氫(HF)基氣體或氟化碳(CxFy)基氣體。第二蝕刻步驟可包括使用第二蝕刻劑的乾式蝕刻製程,相較於對層間介電層118的材料,第二蝕刻劑對多餘的閘極部分112*的材料具有更高的蝕刻選擇性。第二蝕刻劑可包括氯基氣體。
蝕刻製程的第一循環可以從第一或第二蝕刻步驟開始。在一些實施例中,第一循環可從執行第一蝕刻步驟以形成第4B圖至第4D圖的開口424*開始,其中經暴露的多餘的介電質部分比多餘的閘極部分112*蝕刻更深。第一蝕刻步驟之後為第二蝕刻步驟,用以在第4B圖至第4D圖的結構上形成第5A圖至第5D圖之開口524*,其中多餘的閘極部分112*比多餘的介電質部分蝕刻更深。重複蝕刻製程的此循環,直到在經蝕刻的多餘的閘極部分112*及多餘的介電質部分之下的STI區域120及基板106經蝕刻而形成隔離溝槽104*為止,如第6A圖至第6D圖中所示。隔離溝槽104*將兩個閘極結構112BD至閘極結構 112CE切割為四個閘極結構112B至閘極結構112F,如第6A圖中所示。
隔離溝槽104*的溝槽部分104A*至溝槽部分104B*具有不同的高度H1至高度H2。對應於經蝕刻的多餘的閘極部分112*之溝槽部分104A*延伸至基板106中,而對應於經蝕刻的多餘介電質部分的溝槽部分104B*延伸至STI區域120中且不延伸至基板106中,如第6B圖至第6D圖中所示。在一些實施例中,溝槽部分104A*至溝槽部分104B*可同時延伸至基板106中(未繪示),但是溝槽部分104A*可比溝槽部分104B*更深地延伸至基板106中。溝槽部分104A*至溝槽部分104B*分別具有不同高度H1至高度H2可歸因於層間介電層118及多餘的閘極部分112*的材料之不同蝕刻速率。多餘的閘極部分112*之金屬材料具有比層間介電層118的材料更高的蝕刻速率,從而溝槽部分104A*比溝槽部分104B*更深地蝕刻至基板106中。在基板106中沿著X軸的溝槽部分104A*的水平尺寸可對應於閘極結構112BD至閘極結構112CE的閘極長度,並且在STI區域120中沿著X軸的溝槽部分104B*的水平尺寸可對應於閘極結構112BD至閘極結構112CE之間的距離。
在一些實施例中,高度H1可大於高度H2約65nm至約250nm。溝槽部分104A*可延伸至基板106中在STI表面120b下方約5nm至約250nm的距離D1。溝槽部分104A*的沿著X軸的寬度取決於多餘的閘極部 分112*的閘極長度。在一些實施例中,寬度可為約10nm至約40nm或可大於或小於閘極長度約15nm。溝槽部分104B*的底表面可在STI表面120b上方約10nm至約60nm之間的距離D2、在STI表面120b下方約10nm的距離(未繪示)或在STI表面120b處。隔離溝槽104*可具有範圍自約80nm至約140nm的長度L1。
隔離溝槽104*的這些尺寸範圍提供用於有效移除多餘的閘極部分112*的深寬比,使隔離溝槽104*中不留下任何閘極材料殘餘物。若長度L1小於約80nm、距離D1短於約5nm及/或距離D2在STI表面120b上方大於約60nm,則隔離溝槽104*的深寬比可能不足以有效移除多餘的閘極部分112*。另一方面,若長度L1大於約140nm、距離D1大於約250nm及/或距離D2在STI表面120b下方大於約10nm,則蝕刻製程時間增加,如此增加了裝置製造成本。
在一些實施例中,隔離溝槽104*之側表面及底表面可具有如在第6B圖至第6D圖中以虛線所示的輪廓,來代替在第6B圖至第6D圖中以筆直實線所示的輪廓。在第6B圖至第6D圖中以虛線示出的錐形側表面及/或彎曲底表面可歸因於蝕刻製程。
參考第2圖,在步驟215中,在隔離溝槽填充介電材料以形成隔離結構。例如,如第7A圖至第7D圖中所示,隔離溝槽104*可填充介電材料以形成隔離結構104。在一些實施例中,介電質填充隔離溝槽104*可包括自下而 上沉積介電材料至隔離溝槽104*中,之後是化學機械研磨(chemical mechanical polishing,CMP)製程以實質上將隔離結構104、層間介電層118及閘極結構112A及閘極結構112F的頂表面共平面,如第7A圖至第7D圖中所示。在一些實施例中,介電質填充製程可包括原子層沉積(atomic layer deposition,ALD)製程,將介電材料保形地沉積(如第7E圖至第7G圖中所示)至隔離溝槽104*中,直至介電材料填充隔離溝槽104*以形成第7A圖至第7D圖的隔離結構104為止。ALD製程之後可進行CMP製程,以將隔離結構104、層間介電層118及閘極結構112A及閘極結構112F的頂表面實質上共平面,如第7B圖至第7D圖中所示。在一些實施例中,兩種不同介電材料之兩個層105A至層105B可實質上保形地沉積至隔離溝槽104*中以形成隔離結構,如第7H圖至第7J圖中所示。
參考第2圖,在步驟220中,形成橫跨鰭片結構及隔離結構的S/D接觸結構。例如,可橫跨鰭片結構107至鰭片結構108及隔離結構104形成S/D接觸結構122,如參考第8A圖至第10D圖所描述。S/D接觸結構122的形成可包括以下連續操作,圖案化在第7A圖至第7D圖的結構上的遮罩層826以形成開口826*(如在第8A圖至第8B圖及第8D圖中所示),執行第一蝕刻製程以回蝕通過開口826*暴露的隔離結構104的部分,以在隔離結構104中形成空腔828(第8B圖及第8D圖中所示),執行 第二蝕刻製程蝕刻通過開口826*暴露的層間介電層118及蝕刻終止層116的部分(如第9A圖至第9B圖及第9D圖中所示)以形成接觸開口122*,以及以導電材料填充S/D接觸開口122*以形成S/D接觸結構122(如第10A圖至第10D圖中所示)。
在一些實施例中,遮罩層826為光阻劑材料,此光阻劑材料經旋塗於第7A圖至第7D圖的結構上且隨後經圖案化以形成開口826*。在一些實施例中,遮罩層826為氮化矽層,或者可充當蝕刻遮罩並且防止第7A圖至第7D圖之結構的經遮罩區域被蝕刻的任何其他適當材料。
第一蝕刻製程可包括使用第一蝕刻劑的乾式蝕刻製程,相較於對層間介電層118的材料(例如,SiO2),第一蝕刻劑對隔離結構104之介電材料(例如,SiN)具有更高的蝕刻選擇性。第一蝕刻劑可包括碳氟化氫(CxHyFz)基氣體。第二蝕刻製程可包括使用第二蝕刻劑的乾式蝕刻製程,相較於對隔離結構104的材料,第二蝕刻劑對層間介電層118的材料具有更高的蝕刻選擇性。第二蝕刻劑可包括氟化碳(CxFy)基氣體。在一些實施例中,填充S/D接觸開口122*可包括自下而上沉積導電材料至S/D接觸開口122*中,之後是CMP製程以實質上將S/D接觸結構122、層間介電層118及隔離結構104的頂表面共平面,如第10B圖至第10D圖中所示。
本案提供了用於提高裝置製造製程控制的在finFET(例如,finFET101至finFET102)之間的示例 性隔離結構(例如,隔離結構104),以及用於製造此隔離結構的示例性方法。在一些實施例中,可藉由在隔離溝槽(例如,隔離溝槽104*)填充介電質來形成隔離結構,隔離溝槽具有深寬比小於閘極結構的深寬比及/或水平尺寸大於閘極結構的閘極間距(例如,沿著X軸及/或Y軸)。可藉由自相鄰的閘極結構移除兩個或兩個以上多餘閘極部分(例如,多餘的閘極部分112*),並且藉由移除在多餘的閘極部分之間的多餘介電層來形成隔離溝槽。隔離溝槽之較小的深寬比有助於利用簡化的蝕刻製程(依據所需步驟的數目),有效地自難以蝕刻的位置(諸如隔離溝槽之角落及/或底部)移除多餘的閘極部分,如此進而降低了裝置製造成本。因此,和具有與閘極結構相似的深寬比及/或水平尺寸的隔離結構相比,可以使用更好的CMG製程控制來形成具有深寬比比閘極結構之深寬比更小的隔離結構。
藉由使用單個隔離結構同時切割多個長閘極結構(例如,閘極結構112BD至閘極結構112CE)來進一步提高裝置製造製程控制。以單個隔離結構同時切割多個長閘極結構之製程可消除CMG製程相關的變動性,以及消除與以較小隔離結構(例如,沿著X軸的長度小於閘極間距)切割單個閘極結構相關聯的CMG製程相關的複雜性。降低finFET(例如,finFET101至finFET102)上的製程相關變動性以及製程相關複雜性可減少finFET上的效能變動性及裝置製造成本。
此外,隔離結構可延伸至基板中並且提供在 finFET下的p井區域與n井區域之間的電性隔離。而且,隔離結構可在S/D接觸結構(例如,S/D接觸結構122)之形成期間做為蝕刻終止層,以控制S/D接觸結構的高度(例如,高度H3)並且防止與相鄰的閘極結構形成不期望的寄生電容。因此,進一步藉由使用隔離結構來改良finFET製造製程控制。
在一些實施例中,一種半導體裝置包括基板、配置在基板上的第一及第二鰭片結構、配置在第一鰭片結構上的第一對閘極結構,及配置在第二鰭片結構上的第二對閘極結構。第一對閘極結構的第一端面面對第二對閘極結構的第二端面。第一對閘極結構的第一端面與隔離結構的第一側壁實體接觸,並且第二對閘極結構的第二端面與隔離結構的第二側壁實體接觸。半導體裝置進一步包括插入於第一及第二對閘極結構之間的隔離結構。隔離結構的深寬比小於第一對閘極結構的組合深寬比。
在一些實施例中,隔離結構包括延伸至基板中的第一隔離部分,以及與基板間隔開並且延伸至配置在基板上的淺溝槽隔離區域中的第二隔離部分。在一些實施例中,隔離結構包括延伸至基板中第一距離的第一隔離部分,以及延伸至基板中第二距離的第二隔離部分,其中第二距離短於第一距離。在一些實施例中,隔離結構包括延伸至基板的頂表面下方的第一隔離部分,以及具有底表面配置在基板的頂表面上的第二隔離部分。在一些實施例中,隔離結構包括具有第一垂直尺寸的第一隔離部分,以及具有比 第一垂直尺寸小的第二垂直尺寸的第二隔離部分。在一些實施例中,隔離結構包括延伸至基板中並且具有水平尺寸的第一隔離部分,以及延伸至基板上的淺溝槽隔離區域中並且具有水平尺寸的第二隔離部分,第一隔離部分的水平尺寸實質上等於與第一對閘極結構相關聯的閘極長度,第二隔離部分的水平尺寸實質上等於在第一對閘極結構的閘極結構之間的距離。在一些實施例中,隔離結構具有水平尺寸大於第一對閘極結構的閘極間距。在一些實施例中,半導體裝置進一步包括p型井區域及n型井區域在基板中,其中配置隔離結構以將p型井區域與n型井區域彼此電性隔離。
在一些實施例中,一種半導體裝置包括基板及配置在基板上的第一及第二鰭片結構。第一及第二鰭片結構分別包括第一及第二磊晶區域。半導體裝置進一步包括配置在第一鰭片結構上的第一對閘極結構及配置在第二鰭片結構上的第二對閘極結構。第一對閘極結構的第一端面面對第二對閘極結構的第二端面。第一磊晶區域插入於第一對閘極結構的第一側壁之間,並且第二磊晶區域插入於第二對閘極結構的第二側壁之間。半導體裝置進一步包括隔離結構及接觸結構,隔離結構插入於第一對閘極結構的第一端面與第二對閘極結構的第二端面之間並且插入於第一及第二鰭片結構之間,接觸結構配置在第一及第二磊晶區域及隔離結構上。隔離結構的深寬比小於第一對閘極結構的組合深寬比。
在一些實施例中,接觸結構的一部分嵌入於隔離結構中。在一些實施例中,在隔離結構上的接觸結構的第一部分具有垂直尺寸比在第一磊晶區域上的接觸結構的第二部分的垂直尺寸更大。在一些實施例中,隔離結構包括具有第一垂直尺寸的第一隔離部分,以及具有比第一垂尺寸小的第二垂直尺寸的第二隔離部分。在一些實施例中,隔離結構包括延伸至基板中第一距離的第一隔離部分,以及延伸至基板中第二距離的第二隔離部分,其中第二距離短於第一距離。在一些實施例中,隔離結構包括氮化物材料。
在一些實施例中,一種製造半導體裝置的方法包括在配置於基板上的第一及第二鰭片結構上形成第一及第二閘極結構、形成隔離溝槽橫跨第一及第二閘極結構,及在隔離溝槽之內形成隔離結構。隔離溝槽將第一閘極結構分隔為彼此電性隔離的第一對閘極結構,並且將第二閘極結構分隔為彼此電性隔離第二對閘極結構。形成隔離溝槽包括形成延伸至基板中第一距離的第一溝槽部分,及形成延伸至基板中第二距離的第二溝槽部分。第二距離短於第一距離。
在一些實施例中,形成隔離溝槽包括以第一蝕刻速率分別蝕刻第一閘極結構及第二閘極結構之第一閘極部分及第二閘極部分,以及以第二蝕刻速率蝕刻第一閘極部分及第二閘極部分之間的介電層,第二蝕刻速率比第一蝕刻速率慢。在一些實施例中,形成隔離溝槽包括以氟基蝕刻氣體分別蝕刻第一閘極結構及第二閘極結構之第一閘極部 分及第二閘極部分,以及以氯基蝕刻氣體蝕刻在第一閘極部分與第二閘極部分之間的介電層。在一些實施例中,形成隔離溝槽包括循環蝕刻製程,其中循環蝕刻製程的每一循環包括以第一蝕刻速率用氟基蝕刻氣體分別在第一閘極結構及第二閘極結構之第一閘極部分及第二閘極部分上執行第一蝕刻製程,以及以第二蝕刻速率用氯基蝕刻氣體在第一閘極部分及第二閘極部分之間的介電層上執行第二蝕刻製程,第二蝕刻速率比第一蝕刻速率慢。在一些實施例中,形成隔離結構包括在隔離溝槽之內沉積介電材料。在一些實施例中,方法進一步包括在隔離結構及第一鰭片結構及第二鰭片結構上形成接觸結構,其中接觸結構的一部分嵌入於隔離結構中。
前面概述一些實施例的特徵,使得本領域技術人員可更好地理解本公開的觀點。本領域技術人員應該理解,他們可以容易地使用本公開作為設計或修改其他製程和結構的基礎,以實現相同的目的和/或實現與本文介紹之實施例相同的優點。本領域技術人員還應該理解,這樣的等同構造不脫離本公開的精神和範圍,並且在不脫離本公開的精神和範圍的情況下,可以進行各種改變、替換和變更。
100:半導體裝置
101:finFET
102:finFET
104:隔離結構
106:基板
107:鰭片結構
107A:鰭片區域
107B:磊晶區域
108:鰭片結構
108A:鰭片區域
108B:磊晶區域
112A:閘極結構
112B:閘極結構
112C:閘極結構
112D:閘極結構
112E:閘極結構
112F:閘極結構
114:閘極間隔物
116:蝕刻終止層
118:層間介電層
120:STI區域
GL:閘極長度
X,Y,Z:軸

Claims (10)

  1. 一種半導體裝置,包括:一基板;一第一鰭片結構及一第二鰭片結構,配置在該基板上;一第一對閘極結構,配置在該第一鰭片結構上;一第二對閘極結構,配置在該第二鰭片結構上,其中該第一對閘極結構的一第一端面面對該第二對閘極結構的一第二端面;以及一隔離結構,插入於該第一對閘極結構及該第二對閘極結構之間,其中該第一對閘極結構的該第一端面與該隔離結構的一第一側壁實體接觸,並且該第二對閘極結構的該第二端面與該隔離結構的一第二側壁實體接觸,及其中該隔離結構的一深寬比小於該第一對閘極結構的一組合深寬比。
  2. 如請求項1所述之半導體裝置,其中該隔離結構包括:一第一隔離部分,延伸至該基板中一第一距離;以及一第二隔離部分,延伸至該基板中一第二距離,其中該第二距離短於該第一距離。
  3. 如請求項1所述之半導體裝置,其中該隔離結構包括: 一第一隔離部分,延伸至該基板的一頂表面下方;以及一第二隔離部分,具有一底表面配置在該基板的該頂表面上。
  4. 如請求項1所述之半導體裝置,其中該隔離結構包括:一第一隔離部分,延伸至該基板中並且具有一水平尺寸,該第一隔離部分的該水平尺寸實質上等於與該第一對閘極結構相關聯的一閘極長度;及一第二隔離部分,延伸至該基板上的一淺溝槽隔離區域中並且具有一水平尺寸,該第二隔離部分的該水平尺寸實質上等於在該第一對閘極結構的閘極結構之間的一距離。
  5. 如請求項1所述之半導體裝置,其中該隔離結構具有一水平尺寸大於該第一對閘極結構的一閘極間距。
  6. 如請求項1所述之半導體裝置,進一步包括一p型井區域及一n型井區域在該基板中,其中配置該隔離結構以將該p型井區域與該n型井區域彼此電性隔離。
  7. 一種半導體裝置,包括:一基板;一第一鰭片結構及一第二鰭片結構,配置在該基板上, 其中該第一鰭片結構及該第二鰭片結構分別包括一第一磊晶區域及一第二磊晶區域;一第一對閘極結構,配置在該第一鰭片結構上,其中該第一磊晶區域插入該第一對閘極結構的第一側壁之間;一第二對閘極結構,配置在該第二鰭片結構上,其中該第一對閘極結構的一第一端面面對該第二對閘極結構的一第二端面,並且其中該第二磊晶區域插入該第二對閘極結構的第二側壁之間;一隔離結構,插入該第一對閘極結構的該第一端面與該第二對閘極結構的該第二端面之間並且插入該第一鰭片結構及該第二鰭片結構之間,其中該隔離結構的一深寬比小於該第一對閘極結構的一組合深寬比;及一接觸結構,配置在該第一磊晶區域及該第二磊晶區域及該隔離結構上。
  8. 如請求項7所述之半導體裝置,其中在該隔離結構上的該接觸結構的一第一部分具有一垂直尺寸比在該第一磊晶區域上的該接觸結構的一第二部分的一垂直尺寸更大。
  9. 一種製造半導體裝置的方法,包括:在配置於一基板上的一第一鰭片結構及一第二鰭片結構上形成一第一閘極結構及一第二閘極結構;形成一隔離溝槽橫跨該第一閘極結構及該第二閘極結 構,其中該隔離溝槽將該第一閘極結構分隔為彼此電性隔離的一第一對閘極結構,並且將該第二閘極結構分隔為彼此電性隔離的一第二對閘極結構,及其中形成該隔離溝槽包括形成延伸至該基板中一第一距離的一第一溝槽部分,及形成延伸至該基板中一第二距離的一第二溝槽部分,其中該第二距離短於該第一距離;及在該隔離溝槽之內形成一隔離結構。
  10. 如請求項9所述之方法,其中形成該隔離溝槽包括一循環蝕刻製程,其中該循環蝕刻製程的每一循環包括:以一第一蝕刻速率用一氟基蝕刻氣體分別在該第一閘極結構及該第二閘極結構之一第一閘極部分及一第二閘極部分上執行一第一蝕刻製程;及以一第二蝕刻速率用一氯基蝕刻氣體在該第一閘極部分及該第二閘極部分之間的介電層上執行一第二蝕刻製程,該第二蝕刻速率比該第一蝕刻速率慢。
TW110102928A 2020-01-29 2021-01-27 半導體裝置和其製造方法 TWI762166B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US202062967270P 2020-01-29 2020-01-29
US62/967,270 2020-01-29
US16/937,297 US11521969B2 (en) 2020-01-29 2020-07-23 Isolation structures for semiconductor devices
US16/937,297 2020-07-23

Publications (2)

Publication Number Publication Date
TW202143443A TW202143443A (zh) 2021-11-16
TWI762166B true TWI762166B (zh) 2022-04-21

Family

ID=76753658

Family Applications (1)

Application Number Title Priority Date Filing Date
TW110102928A TWI762166B (zh) 2020-01-29 2021-01-27 半導體裝置和其製造方法

Country Status (4)

Country Link
US (1) US20220367456A1 (zh)
KR (1) KR20240004192A (zh)
DE (1) DE102020120099A1 (zh)
TW (1) TWI762166B (zh)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI798071B (zh) * 2021-07-08 2023-04-01 台灣積體電路製造股份有限公司 積體電路結構及其形成方法

Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160233094A1 (en) * 2014-08-19 2016-08-11 International Business Machines Corporation Methods of forming field effect transistors using a gate cut process following final gate formation
TW201806166A (zh) * 2016-08-11 2018-02-16 三星電子股份有限公司 包含接觸結構的半導體裝置
CN107785430A (zh) * 2016-08-24 2018-03-09 三星电子株式会社 半导体装置
TW201837995A (zh) * 2016-12-30 2018-10-16 台灣積體電路製造股份有限公司 半導體元件及其製造方法
TW201843716A (zh) * 2017-04-28 2018-12-16 台灣積體電路製造股份有限公司 半導體元件以及其製造方法
CN109037202A (zh) * 2017-06-09 2018-12-18 三星电子株式会社 具有多栅极晶体管结构的半导体装置
US20190221431A1 (en) * 2017-10-31 2019-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Gate Isolation Plugs Structure and Method
US20190244865A1 (en) * 2018-02-05 2019-08-08 Globalfoundries Inc. Insulating gate separation structure
TW201944535A (zh) * 2018-04-10 2019-11-16 南韓商三星電子股份有限公司 積體電路裝置

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10460994B2 (en) * 2017-11-30 2019-10-29 Taiwan Semiconductor Manufacturing Co., Ltd. Residue-free metal gate cutting for fin-like field effect transistor
US11107902B2 (en) * 2018-06-25 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric spacer to prevent contacting shorting
US10825741B2 (en) * 2018-11-20 2020-11-03 Globalfoundries Inc. Methods of forming single diffusion breaks on integrated circuit products comprised of FinFET devices and the resulting products

Patent Citations (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160233094A1 (en) * 2014-08-19 2016-08-11 International Business Machines Corporation Methods of forming field effect transistors using a gate cut process following final gate formation
TW201806166A (zh) * 2016-08-11 2018-02-16 三星電子股份有限公司 包含接觸結構的半導體裝置
CN107785430A (zh) * 2016-08-24 2018-03-09 三星电子株式会社 半导体装置
TW201837995A (zh) * 2016-12-30 2018-10-16 台灣積體電路製造股份有限公司 半導體元件及其製造方法
TW201843716A (zh) * 2017-04-28 2018-12-16 台灣積體電路製造股份有限公司 半導體元件以及其製造方法
CN109037202A (zh) * 2017-06-09 2018-12-18 三星电子株式会社 具有多栅极晶体管结构的半导体装置
US20190221431A1 (en) * 2017-10-31 2019-07-18 Taiwan Semiconductor Manufacturing Company, Ltd. Gate Isolation Plugs Structure and Method
US20190244865A1 (en) * 2018-02-05 2019-08-08 Globalfoundries Inc. Insulating gate separation structure
TW201944535A (zh) * 2018-04-10 2019-11-16 南韓商三星電子股份有限公司 積體電路裝置

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TWI798071B (zh) * 2021-07-08 2023-04-01 台灣積體電路製造股份有限公司 積體電路結構及其形成方法

Also Published As

Publication number Publication date
US20220367456A1 (en) 2022-11-17
KR20240004192A (ko) 2024-01-11
TW202143443A (zh) 2021-11-16
DE102020120099A1 (de) 2021-07-29

Similar Documents

Publication Publication Date Title
US9281378B2 (en) Fin recess last process for FinFET fabrication
CN109427899B (zh) 半导体器件及其制造方法
KR20190024564A (ko) 유전체 핀과 스페이서에 의해 제한되는 에피택셜 피처
CN107808849B (zh) 半导体元件及其制作方法
KR102108986B1 (ko) 반도체 디바이스 게이트 스페이서 구조 및 그 방법
US20220352037A1 (en) Methods Of Forming Metal Gate Spacer
TWI761529B (zh) 半導體元件及其製作方法
TWI793675B (zh) 半導體裝置及其形成方法
US20190237543A1 (en) Method for FinFET LDD Doping
CN111769045B (zh) 半导体元件及其制作方法
KR20240004192A (ko) 반도체 디바이스를 위한 격리 구조물
US11521969B2 (en) Isolation structures for semiconductor devices
KR102042718B1 (ko) 핀 전계 효과 트랜지스터 디바이스 및 방법
US20230386939A1 (en) Semiconductor device and method for fabricating the same
TWI776911B (zh) 半導體元件及其製作方法
US20220262687A1 (en) Semiconductor device and method for fabricating the same
TW202108498A (zh) 半導體裝置以及其製作方法
US11355639B1 (en) Semiconductor device and method for fabricating the same
TWI795774B (zh) 填充結構及其製造方法
TWI777332B (zh) 半導體結構及其形成方法
CN219350236U (zh) 半导体装置
US20230068568A1 (en) Gate Isolation Features and Methods of Fabricating the Same in Semiconductor Devices
TW202410469A (zh) 半導體裝置及其形成方法
TW202418473A (zh) 半導體結構及其製造方法
TW202240709A (zh) 形成半導體結構的方法