TWI777332B - 半導體結構及其形成方法 - Google Patents

半導體結構及其形成方法 Download PDF

Info

Publication number
TWI777332B
TWI777332B TW109144681A TW109144681A TWI777332B TW I777332 B TWI777332 B TW I777332B TW 109144681 A TW109144681 A TW 109144681A TW 109144681 A TW109144681 A TW 109144681A TW I777332 B TWI777332 B TW I777332B
Authority
TW
Taiwan
Prior art keywords
gate
fin
layer
semiconductor
field effect
Prior art date
Application number
TW109144681A
Other languages
English (en)
Other versions
TW202137571A (zh
Inventor
仁安 黃
林毓超
李東穎
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US16/895,795 external-priority patent/US11545490B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW202137571A publication Critical patent/TW202137571A/zh
Application granted granted Critical
Publication of TWI777332B publication Critical patent/TWI777332B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/30604Chemical etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Semiconductor Integrated Circuits (AREA)

Abstract

提供半導體結構,半導體結構包含位於基底之上的第一全繞式閘極場效電晶體、以及相鄰於第一全繞式閘極場效電晶體的第一鰭式場效電晶體,第一全繞式閘極場效電晶體包含複數個第一奈米結構、以及圍繞第一奈米結構的第一閘極堆疊。第一鰭式場效電晶體包含第一鰭結構、以及位於第一鰭結構之上的第二閘極堆疊。半導體結構還包含閘極切割部件,閘極切割部件插入第一全繞式閘極場效電晶體的第一閘極堆疊與第一鰭式場效電晶體的第二閘極堆疊之間。

Description

半導體結構及其形成方法
本發明實施例是關於一種半導體結構,且特別是有關於具有隔離部件的半導體結構及其形成方法。
電子工業對越來越小且越快的電子裝置的需求不斷增長,這些電子裝置同時能夠支持更多越來越複雜且精密的功能。因此,製造低成本、高性能和低功率積體電路(integrated circuit,IC)是半導體工業持續的趨勢。迄今為止,透過縮小半導體積體電路的尺寸(例如,最小特徵尺寸),並由此提高生產效率且降低相關成本,在很大程度上已實現了這些目標。然而,這種小型化亦使半導體製造製程更趨複雜。因此,實現半導體積體電路和裝置的持續發展要求在半導體製造製程和技術上有相似的發展。
最近導入多閘極(multi-gate)裝置,以致力於透過增加閘極-通道耦合(gate-channel coupling)來改善閘極控制,減少截止(OFF)狀態電流,並且減少短通道效應(short-channel effect,SCE)。已導入的一種多閘極裝置是全繞式閘極(gate-all-around,GAA)電晶體。全繞式閘極裝置名稱緣由是它的閘極結構可以環繞通道區延伸,從而自兩側或四側開啟通道。全繞式閘極裝置能與傳統的互 補式金屬-氧化物-半導體(complementary metal oxide semiconductor,CMOS)製程兼容,並且這種結構允許它們在保持閘極控制和減輕短通道效應的同時大幅縮小尺寸。在傳統製程中,全繞式閘極裝置在矽納米線(nanowire)中提供通道。然而,圍繞納米線的全繞式閘極部件的製造整合可能具有挑戰性。舉例而言,儘管目前的方法在許多方面都令人滿意,但是仍然需要持續的進行改進。
本發明實施例提供半導體結構,半導體結構包含位於基底之上的第一全繞式閘極場效電晶體(GAA FET)、以及相鄰於第一全繞式閘極場效電晶體的第一鰭式場效電晶體(FinFET),第一全繞式閘極場效電晶體包含複數個第一奈米結構、以及圍繞第一奈米結構的第一閘極堆疊。第一鰭式場效電晶體包含第一鰭結構、以及位於第一鰭結構之上的第二閘極堆疊。半導體結構還包含閘極切割部件,閘極切割部件插入第一全繞式閘極場效電晶體的第一閘極堆疊與第一鰭式場效電晶體的第二閘極堆疊之間。
本發明實施例提供半導體結構,半導體結構包含位於基底之上的第一全繞式閘極場效電晶體、第二全繞式閘極場效電晶體、第一鰭式場效電晶體。第一全繞式閘極場效電晶體包含複數個第一奈米結構、以及環繞第一奈米結構的第一閘極堆疊。第二全繞式閘極場效電晶體包含複數個第二奈米結構、以及環繞第二奈米結構的第二閘極堆疊。第一鰭式場效電晶體包含第一鰭結構、以及位於第一鰭結構之上的第三閘極堆疊。半導體結構還包含隔離部件,其插入第一全繞式閘極場效電晶體的第一奈米結構與第二全繞式閘極場效電晶體的第二奈米結構之間。半導體結構還包含隔離部件第一閘極切割部件,其插入第 一全繞式閘極場效電晶體的第一閘極堆疊與第一鰭式場效電晶體的第三閘極堆疊之間。
本發明實施例提供半導體結構的形成方法,此方法包含依序形成第一半導體層和第二半導體層於基底之上、蝕刻第二半導體層,以形成凹陷於基底的第一區中、從凹陷交替地堆疊複數個第三半導體層與複數個第四半導體層於第一半導體層之上、圖案化第三半導體層、第四半導體層以及第一半導體層,以形成第一鰭結構於基底的第一區中,且圖案化第二半導體層和第一半導體層以形成第二鰭結構於基底的第二區中、從第一鰭結構移除第四半導體層和第一半導體層,以從第一鰭結構的第三半導體層形成複數個奈米結構,且從第二鰭結構移除第一半導體層,以從第二鰭結構的第二半導體層形成浮置鰭元件、形成第一閘極堆疊橫跨奈米結構和浮置鰭元件、以及在形成第一閘極堆疊之後,形成第一閘極切割部件穿過第一閘極堆疊。
12:半導體結構
14:半導體結構
16:半導體結構
18:半導體結構
20:半導體結構
22:半導體結構
24:半導體結構
26:半導體結構
28:半導體結構
30:半導體結構
32:半導體結構
34:半導體結構
36:半導體結構
38:半導體結構
40:半導體結構
42:半導體結構
44:半導體結構
46:半導體結構
102:基底
103:下部鰭元件
103’:下部鰭元件
104:第一半導體層
104’:第一半導體層
106:第二半導體層
106’:第二半導體層
108:凹陷
110:第三半導體層
110’:第三半導體層
112:第四半導體層
112’:第四半導體層
118:第一鰭結構
120:第二鰭結構
122:溝槽
124:隔離結構
126:虛設閘極結構
1261:閘極結構
1262:閘極結構
1263:閘極結構
1264:閘極結構
128:虛設閘極介電層
130:虛設閘極電極層
132:閘極間隔層
134:源極/汲極部件
136:源極/汲極部件
138:層間介電層
140:圖案化遮罩層
144:切割溝槽
144A:第一底面
144B:第二底面
146:隔離部件
146A:第一底面
146B:第二底面
148:介電襯層
150:介電填充層
1521:閘極溝槽
1522:閘極溝槽
1523:閘極溝槽
1524:閘極溝槽
152:閘極溝槽
154:間隙
156:間隙
158:內間隔層
160:最終閘極堆疊
1601:最終閘極堆疊
1602:最終閘極堆疊
1603:最終閘極堆疊
1604:最終閘極堆疊
162:界面層
164:高介電常數閘極介電層
166:金屬閘極電極層
168:圖案化遮罩層
170:開口
172:閘極切割開口
174:閘極切割部件
174A:閘極切割部件
174B:閘極切割部件
200:第一區
300:第二區
402:硬遮罩層
404:底層
406:中間層
408:頂層
410:開口
412:開口
414:切割溝槽
502:金屬保護層
504:硬遮罩層
506:底層
508:中間層
510:頂層
514:開口
514’:開口
514s:開口
516:介電層
518:閘極切割開口
1000:方法
1002:步驟
1004:步驟
1006:步驟
1008:步驟
1010:步驟
1012:步驟
1014:步驟
1016:步驟
1018:步驟
1020:步驟
1022:步驟
1024:步驟
1026:步驟
1028:步驟
1030:步驟
CH:通道區
SD:源極/汲極區
T1:全繞式閘極場效電晶體
T2:鰭式場效電晶體
藉由以下的詳細描述配合所附圖式,可以更加理解本發明實施例的內容。需強調的是,根據產業上的標準慣例,許多部件(feature)僅用於說明目的,並未按照比例繪製。事實上,為了能清楚地討論,各種部件的尺寸可能被任意地增加或減少。
第1圖是根據本發明的一些實施例,繪示半導體結構的透視示意圖。
第2A、2B、2C、2D-2、2E-2至2E-5、2F-2至2F-5、2G-2至2G-5、2H-2至2H-6、2I-2至2I-6、2J-2至2J-4以及2K-2至2K-4圖是根據本發明的一些實施例,繪示形成半導體結構在各種中間階段的剖面示意圖。
第2D-1、2E-1、2F-1、2G-1、2H-1、2I-1、2J-1以及2K-1圖是根據本發明的 一些實施例,繪示形成半導體結構在各種中間階段的上視示意圖。
第3圖是根據本發明的一些實施例,繪示半導體結構的形成方法的流程圖。
第4A-4D圖是根據本發明的一些實施例,繪示形成切割溝槽的剖面示意圖。
第5A-5D圖是根據本發明的一些實施例,繪示形成閘極切割開口的剖面示意圖。
第6-1、7-1、8-1、9-1、10-1、11-1、12-1、13-1、14-1、15-1、16-1、17-1、18-1、19-1、20-1、21-1以及22-1圖是根據本發明的一些實施例,繪示半導體結構的各種修改的上視示意圖。
第6-2和6-3、7-2至7-4、8-2、9-2、10-2、11-2、12-2、13-2、14-2、14-3、15-2、16-2、17-2、18-2、19-2、20-2、21-2以及22-2圖是根據本發明的一些實施例,繪示半導體結構的各種修改的剖面示意圖。
以下內容提供了多個不同的實施例或範例,用於實現本發明實施例的不同部件。組件和配置的具體實施例或範例描述如下,以簡化本發明實施例。當然,這些僅僅是範例,並非用以限定本發明實施例。舉例來說,敘述中若提及第一部件形成於第二部件上(或之上),可能包含形成第一和第二部件直接接觸的實施例,也可能包含額外的部件形成於第一和第二部件之間,使得第一和第二部件不直接接觸的實施例。另外,本發明實施例可能在許多範例中重複元件符號及/或字母。這些重複是為了簡化和清楚的目的,她們本身並非代表所討論各種實施例及/或配置之間有特定的關係。
本文描述實施例的一些變化。在各種示意圖與圖示實施例中,使用相似元件符號來表示相似元件。應注意的是,方法的前中後可提供額外步驟, 並且對於其他一些方法實施例,可以取代或刪減一些步驟。
此外,當以「約(about)」、「大概(approximate)」或類似用語描述數量或範圍時,這些用語的目的是要涵蓋合理範圍內的數量,例如包含所提及數量的+/-10%以內,或本領域技術人員所理解的其他數值。舉例而言,「約5奈米(nm)」的用語涵蓋4.5奈米至5.5奈米的尺寸範圍。
可透過任何適合方法圖案化以下所述的全繞式閘極(gate-all-around,GAA)電晶體結構。舉例而言,可使用一或多道微影製程圖案化全繞式閘極電晶體結構,微影製程包含雙圖案(double patterning)或多圖案(multi-patterning)製程。一般而言,雙圖案或多圖案製程結合了微影與自對準(self-aligned)製程,其與直接的單微影製程所得到的圖案相比,得以創造出更小的節距(pitch)的圖案。舉例而言,在一實施例中,形成犧牲層於基底之上,並使用微影製程將其圖案化。使用自對準製程形成間隔物於圖案化犧牲層旁邊。接著移除犧牲層,留下的間隔物之後用來圖案化全繞式閘極結構。
鰭式場效電晶體(fin field effect transistor,FinFET)廣泛地用於包含不同種類的裝置的積體電路(integrated circuit,IC),例如包含邏輯裝置、記憶體裝置(例如靜態隨機存取記憶體(SRAM))等。比起鰭式場效電晶體,全繞式閘極場效電晶體能表現出對於通道區之改善閘極控制,例如較低的汲極引發能障下降(DIBL)。本發明實施例的觀點涉及結構及形成方法,其中提供包含全繞式閘極場效電晶體和鰭式場效電晶體於同一片半導體基底(或晶片)之上的混合結構。此外,本發明實施例提供的混合結構還包含隔離部件以及閘極切割部件,使得這些電晶體彼此電性隔離。因此,透過本發明實施例的半導體結構,對於包含不同種類裝置的積體電路,可實現較低製程難度和較大設計彈性。
第1圖是根據本發明的一些實施例,繪示半導體結構的透視示意圖。根據一些實施例,提供半導體結構12,如第1圖所示。根據一些實施例,半導體結構12包含基底102、以及基底102之上的第一鰭結構118和第二鰭結構120。舉例而言,第一鰭結構118可用以形成全繞式閘極場效電晶體(GAA FET)裝置,而第二鰭結構120可用以形成鰭式場效電晶體(FinFET)裝置。
為了較佳地理解半導體結構,第1圖繪示X-Y-Z參考座標,其可用於後續圖式。X軸和Y軸基本上指向水平方向,水平方向平行於基底102主表面。Y軸橫跨X軸,例如Y軸大致垂直X軸。Z軸基本上指向垂直方向,其垂直於基底102主表面(或X-Y平面)。
根據一些實施例,第一鰭結構118包含由部分的基底102形成的下部鰭元件103、以及由磊晶堆疊形成的上部鰭元件,磊晶堆疊包含半導體層104、110以及112。根據一些實施例,第二鰭結構120包含由部分的基底102形成的下部鰭元件103、以及由磊晶堆疊形成的上部鰭元件,磊晶堆疊包含半導體層104以及106。
根據一些實施例,第一鰭結構118和第二鰭結構120在X方向延伸。也就是說,根據一些實施例,第一鰭結構118和第二鰭結構120各自具有平行於X方向的長軸方向。X方向也可稱為通道延伸方向。根據一些實施例,第一鰭結構118和第二鰭結構120各自包含通道區CH和源極/汲極區SD,通道區CH界定於源極/汲極區SD之間。第1圖為了說明目的顯示一個通道區CH和兩個源極/汲極區SD,然而並非限制於此。通道區CH和源極/汲極區SD的數量可取決於半導體裝置設計需求及/或性能考量。閘極結構(未顯示)將會形成具有平行於Y方向的長軸方向,並且延伸橫跨第一鰭結構118和第二鰭結構120的通 道區CH。Y方向也可稱為閘極延伸方向。
第2A至2K-4圖是根據本發明一些實施例,繪示形成半導體結構在各種中間階段的示意圖。
第2A圖是根據本發明一些實施例,繪示在形成第一磊晶堆疊之後的半導體結構12。
根據一些實施例,半導體結構12包含基底102,如第2A圖所示。根據一些實施例,半導體結構12包含第一區200和第二區300,全繞式閘極場效電晶體將形成於第一區200,而鰭式場效電晶體將形成於第二區300。在一些實施例中,第一區200相鄰於第二區300。
在一些實施例中,基底102是矽基底。在一些實施例中,基底102包含元素半導體(例如,鍺);化合物半導體(例如,氮化鎵(GaN)、碳化矽(SiC)、砷化鎵(GaAs)、磷化鎵(GaP)、磷化銦(InP)、砷化銦(InAs)、及/或銻化銦(InSb));合金半導體(例如,SiGe、GaAsP、AlInAs、AlGaAs、GaInAs、GaInP、及/或GaInAsP);或前述之組合。此外,基底102可選地包含磊晶(epi)層,可施以應變以強化性能,可包含絕緣體上覆矽(SOI)基底,及/或具有其他適合的性能特徵。
根據一些實施例,形成第一半導體層104於基底102之上,並且形成第二半導體層106於第一半導體層104之上,如第2A圖所示。在一些實施例中,第一半導體層104具有範圍在約1.5奈米(nm)至約20奈米的厚度。在一些實施例中,第二半導體層106具有範圍在約5奈米至約300奈米的厚度。
根據一些實施例,第一半導體層104具有與第二半導體層106不同的晶格常數。在一些實施例中,第一半導體層104與第二半導體層106具有不同的氧化速率及/或蝕刻速率。在一些實施例中,第一半導體層104由矽鍺(SiGe) 形成,其中鍺(Ge)在矽鍺中的百分比範圍在約20原子(atomic)/%至約50原子/%,而第二半導體層106由矽形成。在一些實施例中,使用磊晶成長製程或其他技術形成第一半導體層104和第二半導體層106,例如分子束磊晶法(molecular beam epitaxy,MBE)、金屬有機化學氣相沉積(metal organic chemical vapor deposition,MOCVD)、或氣相磊晶法(vapor phase epitaxy,VPE)、或其他適合技術。在一些實施例中,第一半導體層104和第二半導體層106共同稱為第一磊晶堆疊。
第2B圖是根據一些實施例,繪示在形成凹陷108之後的半導體結構12。
根據一些實施例,對半導體結構12進行蝕刻製程,以從基底102的第一區200凹蝕第一磊晶堆疊。根據一些實施例,第二半導體層106在第一區200中的部分被移除,以形成凹陷108,如第2B圖所示。在一些實施例中,在蝕刻製程之前,形成圖案化遮罩層(未顯示)於第二半導體層106之上。圖案化遮罩層可以是圖案化光阻層及/或圖案化硬遮罩層,並且形成圖案化遮罩層以覆蓋基底102的第二區300,同時暴露出基底102的第一區200。蝕刻製程可以是乾式蝕刻及/或濕式蝕刻,並且在蝕刻製程中,使用第一半導體層104作為蝕刻停止層。在一些實施例中,進行蝕刻製程直到第一半導體層104在第一區200中的部分從凹陷108暴露出來。
第2C圖是根據一些實施例,繪示在形成第二磊晶堆疊之後的半導體結構12。
根據一些實施例,從凹陷108交替地形成第三半導體層110和第四半導體層112於第一半導體層104之上,如第2C圖所示。在一些實施例中, 每一個第三半導體層110的厚度範圍在約1.5奈米至約20奈米。每一個第四半導體層112的厚度範圍在約1.5奈米至約20奈米。在一些實施例中,第三半導體層110和第四半導體層112的厚度大於第一半導體層104的厚度。
根據一些實施例,第三半導體層110具有與第四半導體層112和第一半導體層104不同的晶格常數。在一些實施例中,第三半導體層110具有與第四半導體層112和第一半導體層104不同的氧化速率及/或蝕刻速率。在一些實施例中,第三半導體層110由矽形成,第四半導體層112由矽鍺(SiGe)形成,其中鍺(Ge)在矽鍺中的百分比範圍在約20原子/%至約50原子/%,在一些實施例中,第三半導體層110的組成與第二半導體層106大致相同,第四半導體層112的組成與第一半導體層104大致相同。
在一些實施例中,使用磊晶成長製程(例如,分子束磊晶法(MBE)、金屬有機化學氣相沉積(MOCVD)、或氣相磊晶法(VPE))或其他技術,形成第三半導體層110和第四半導體層112。在一些實施例中,第三半導體層110、第四半導體層112以及第一半導體層104在第一區200中的部分共同稱為第二磊晶堆疊。在一些實施例中,第一磊晶堆疊位於基底102的第二區300中,而第二磊晶堆疊位於基底102的第一區200中。
可對半導體結構12進行平坦化製程,例如化學機械研磨(chemical mechanical polish,CMP),以移除第三半導體層110和第四半導體層112形成於第二半導體層106上表面之上的部分。平坦化製程也可移除第二半導體層106之上的圖案化遮罩層,以暴露出第二半導體層106。根據一些實施例,在平坦化製程之後,最高的第三半導體層110的上表面與第二半導體層106的上表面大致共平面。
第2D-1圖是根據一些實施例,繪示在形成第一鰭結構118和第二鰭結構120之後的半導體結構12。第2D-2圖是沿著第2D-1圖中的線Y1-Y1擷取的剖面示意圖。
根據一些實施例,將第一區200中的第二磊晶堆疊、第二區300中的第一磊晶堆疊、以及下方的基底200圖案化,以形成第一鰭結構118於第一區200中,以及第二鰭結構120於第二區300中,如第2D-1和2D-2圖所示。根據一些實施例,第一鰭結構118和第二鰭結構120是半導體結構12的主動區,主動區將形成為電晶體(例如,全繞式閘極場效電晶體和鰭式場效電晶體)的通道區以及源極/汲極區。第一鰭結構118和第二鰭結構120在X方向上延伸,並且在Y方向上彼此大致平行排列。也就是說,根據一些實施例,第一鰭結構118和第二鰭結構120具有平行於X方向的長軸方向。
在一些實施例中,圖案化製程包含形成圖案化遮罩層(未顯示)於半導體結構12之上,並且蝕刻半導體結構12未被圖案化遮罩層覆蓋的部分,從而形成溝槽122以及第一鰭結構118和第二鰭結構120。圖案化遮罩層可以是圖案化光阻層及/或圖案化硬遮罩層。蝕刻製程可以是異向性蝕刻製程,例如乾式蝕刻。
在一些實施例中,在蝕刻製程之後,基底102具有自溝槽122之間突出的部分,以形成第一鰭結構118和第二鰭結構120的下部鰭元件103。在一些實施例中,第二磊晶堆疊(包含第一區200中的第一半導體層104、第三半導體層110以及第四半導體層112)的剩餘部分於下部鰭元件103之上形成第一鰭結構118的上部鰭元件。在一些實施例中,第一磊晶堆疊(包含第二區300中的第一半導體層104以及第二半導體層106)的剩餘部分於下部鰭元件103之上 形成第二鰭結構120的上部鰭元件。
第2E-1圖是根據一些實施例,繪示在形成隔離結構124、複數個虛設閘極結構126、源極/汲極部件134和136、以及層間介電(interlayer dielectric,ILD)層138之後的半導體結構12。第2E-2、2E-3、2E-4和2E-5圖是沿著第2E-1圖中的線Y1-Y1、線X1-X1、線X2-X2、以及線X3-X3擷取的剖面示意圖。為了說明,第2E-1圖的上視示意圖僅繪示第一鰭結構118、第二鰭結構120、虛設閘極結構126、以及層間介電層138,其他部件可見於第2E-2至2E-5圖的剖面示意圖。
根據一些實施例,形成隔離結構124於基底102之上,且圍繞第一鰭結構118的下部鰭元件103以及第二鰭結構120的下部鰭元件103,如第2E-2和2E-3圖所示。根據一些實施例,隔離結構124配置以電性隔離主動區(例如,第一鰭結構118和第二鰭結構120),並且也可稱為淺溝槽隔離(shallow trench isolation,STI)部件。
在一些實施例中,隔離結構124由絕緣材料形成,例如氧化矽、氮化矽、氮氧化矽(SiON)、其他適合絕緣材料、前述之多層、及/或前述之組合。在一些實施例中,形成隔離結構124包含沉積用於隔離結構124的一或多層絕緣材料於半導體結構12之上,以填充溝槽122(第2D-2圖),並且平坦化絕緣材料以移除絕緣材料形成於第一鰭結構118和第二鰭結構120上表面上方的部分。在一些實施例中,沉積製程包含化學氣相沉積(chemical vapor deposition,CVD),例如低壓化學氣相沉積(LPCVD)、電漿增強化學氣相沉積(PECVD)、高密度電漿化學氣相沉積(high density plasma CVD,HDP-CVD)、高縱深比填溝製程(high aspect ratio process,HARP)、可流動化學氣相沉積(flowable CVD,FCVD)、原 子層沉積(atomic layer deposition,ALD)、其他適合方法、及/或前述之組合。平坦化製程可以是化學機械研磨(CMP)。
之後,使用蝕刻製程,凹蝕絕緣材料,以形成隔離結構124,且暴露出第一鰭結構118和第二鰭結構120的部分側壁。可以控制凹蝕深度,例如透過控制蝕刻時間,以得到第一鰭結構118和第二鰭結構120具有期望高度的暴露部分。在一些實施例中,第一鰭結構118的第一半導體層104和第二鰭結構120的第一半導體層104從隔離結構124暴露出來。
根據一些實施例,形成複數個虛設閘極結構126於半導體結構12之上,如第2E-1至2E-5圖所示。在一些實施例中,虛設閘極結構126包含虛設閘極結構1261、1262、1263和1264。在一些實施例中,虛設閘極結構126在Y方向上延伸,並且在X方向上彼此大致平行排列。也就是說,根據一些實施例,虛設閘極結構126具有平行於Y方向的長軸方向。根據一些實施例,虛設閘極結構126延伸橫跨且環繞第一鰭結構118和第二鰭結構120的通道區。
根據一些實施例,虛設閘極結構126各自包含虛設閘極介電層128和形成於虛設閘極介電層128之上的虛設閘極電極層130,如第2E-2至2E-5圖所示。在一些實施例中,虛設閘極介電層128由一或多個介電材料形成,例如氧化矽(SiO)、氮化矽(SiN)、氮氧化矽(SiON)、HfO2、HfZrO、HfSiO、HfTiO、HfAlO、及/或前述之組合。在一些實施例中,使用原子層沉積(ALD)、化學氣相沉積(CVD)、熱氧化、物理氣相沉積(physical vapor deposition,PVD)、其他適合方法、及/或前述之組合,形成介電材料。在一些實施例中,虛設閘極電極層130由導電材料形成,例如多晶矽(poly-Si)、多晶矽鍺(poly-SiGe)、或前述之組合。在一些實施例中,使用化學氣相沉積(CVD)、其他適合技術、及/或前述之組合 形成導電材料。
在一些實施例中,形成虛設閘極結構126包含順應性地沉積用於虛設閘極介電層128的介電材料於半導體結構12之上,沉積用於虛設閘極電極層130的導電材料於介電材料之上,平坦化導電材料,以及圖案化導電材料和介電材料為虛設閘極結構126。圖案化製程可包含形成蝕刻遮罩(未顯示)於導電材料之上,以覆蓋第一鰭結構118和第二鰭結構120的通道區。蝕刻移除未被蝕刻遮罩覆蓋的導電材料和介電材料,以暴露出第一鰭結構118和第二鰭結構120的源極/汲極區。
根據一些實施例,形成閘極間隔層132沿著且覆蓋虛設閘極結構126的側壁,如第2E-3至2E-5圖所示。根據一些實施例,閘極間隔層132配置以偏置(offset)後續形成的源極/汲極部件,並且隔開源極/汲極部件與閘極結構。
在一些實施例中,閘極間隔層132由介電材料形成,例如氧化矽(SiO2)、氮化矽(SiN)、碳化矽(SiC)、氮氧化矽(SiON)、氮碳化矽(SiCN)、氮碳氧化矽(SiOCN)、及/或前述之組合。在一些實施例中,形成閘極間隔層132包含順應性地沉積用於閘極間隔層132的介電材料於半導體基底12之上,後續進行異向性蝕刻製程,例如乾式蝕刻。進行蝕刻製程移除用於閘極間隔層132的介電材料的水平部分,同時留下介電材料的垂直部分於虛設閘極結構126的側壁上,以作為閘極間隔層132。
根據一些實施例,形成源極/汲極部件134於第一鰭結構118之上,且形成源極/汲極部件136於第二鰭結構120之上,如第2E-4至2E-5圖所示。根據一些實施例,源極/汲極部件134和136形成於虛設閘極結構126兩側。
根據一些實施例,形成源極/汲極部件134和136包含凹蝕第一鰭 結構118和第二鰭結構120,以形成源極/汲極凹陷(未顯示)於源極/汲極區。針對性能考量,凹蝕深度取決於源極/汲極部件134和136的期望高度。根據一些實施例,之後,使用磊晶成長製程,成長用於源極/汲極部件134和136的一或多個半導體材料於第一鰭結構118和第二鰭結構120之上。磊晶成長製程可以是分子束磊晶法(MBE)、金屬有機化學氣相沉積(MOCVD)、氣相磊晶法(VPE)、其他適合技術、或前述之組合。
在一些實施例中,源極/汲極部件134和136由任何適合用於n型半導體裝置及p型半導體裝置的材料形成,例如,Ge、Si、GaAs、AlGaAs、SiGe、GaAsP、SiP、SiC、SiCP、或前述之組合。在一些實施例中,在磊晶成長製程期間,原位(in-situ)摻雜源極/汲極部件134和136。舉例而言,源極/汲極部件134和136可以是以硼(B)摻雜的磊晶成長的矽鍺(SiGe)。舉例而言,源極/汲極部件134和136可以是以碳(C)摻雜的磊晶成長的矽(Si)以形成矽:碳(Si:C)源極/汲極部件,是以磷(P)摻雜的磊晶成長的矽以形成矽:磷(Si:P)源極/汲極部件,或是以碳和磷兩者一起摻雜的磊晶成長的矽以形成矽碳磷(SiCP)源極/汲極部件。在一些實施例中,源極/汲極部件134的成長與源極/汲極部件136的成長可以在不同步驟中進行。
根據一些實施例,形成層間介電層138於半導體結構12之上,如第2E-1和2E-3至2E-5圖所示。根據一些實施例,層間介電層138填充虛設閘極結構126之間的空間,以覆蓋源極/汲極部件134和136。
在一些實施例中,層間介電層138由介電材料形成,例如未摻雜的矽酸鹽玻璃(un-doped silicate glass,USG)、或摻雜的氧化矽,例如,硼磷矽酸鹽玻璃(borophosphosilicate glass,BPSG)、摻雜氟的矽酸鹽玻璃(fluoride-doped silicate glass,FSG)、磷矽酸鹽玻璃(phosphosilicate glass,PSG)、硼矽酸鹽玻璃(borosilicate glass,BSG)、及/或其他適合介電材料。在一些實施例中,使用化學氣相沉積(例如,高密度電漿化學氣相沉積(HDP-CVD)、電漿增強化學氣相沉積(PECVD)、或高縱深比填溝製程(HARP))、其他適合方法、或前述之組合,形成用於層間介電層138的介電材料。根據一些實施例,之後,使用例如化學機械研磨(CMP),移除用於層間介電層138的介電材料高於閘極結構126上表面的部分,直到虛設閘極結構126的上表面暴露出來。在一些實施例中,層間介電層138的上表面與虛設閘極電極層130的上表面大致共平面。
第2F-1圖是根據一些實施例,繪示在形成切割溝槽144之後的半導體結構12。第2F-2、2F-3、2F-4和2F-5圖是沿著第2F-1圖中的線Y1-Y1、線X1-X1、線Y2-Y2、以及線X2-X2擷取的剖面示意圖。
根據一些實施例,穿過虛設閘極結構1263以及第一鰭結構118形成切割溝槽144,如第2F-1至2F-3和2F-5圖所示。切割溝槽144也可稱為切割氧化物定義邊緣上閘極(cut polysilicon on oxide definition edge,CPODE)圖案。根據一些實施例,切割溝槽144對應於虛設閘極結構1263與第一鰭結構118的交會點,以將虛設閘極結構1263切割為兩個區段(也可稱為子閘極結構),且將第一鰭結構118切割為兩個區段(也可稱為子主動區)。根據一些實施例,切割溝槽144在Y方向上延伸。也就是說,根據一些實施例,切割溝槽144具有平行於Y方向的長軸方向。
在一些實施例中,形成切割溝槽144包含形成圖案化遮罩層140於半導體結構12之上。在一些實施例中,圖案化遮罩層140具有對應於切割溝槽144的開口142。之後,進行蝕刻製程,以移除虛設閘極結構1263和第一鰭結 構118未被圖案化遮罩層140覆蓋的部分,以形成切割溝槽144。後續於第4A-4D圖將詳細描述蝕刻製程。
在一些實施例中,蝕刻製程移除虛設閘極結構1263和第一鰭結構118,使得閘極間隔物132從切割溝槽144暴露出來,如第2F-3圖所示。在移除虛設閘極結構1263和第一鰭結構118之後,切割溝槽144延伸至隔離結構124和基底102中。因為隔離結構124和基底102之間蝕刻選擇性的差異,所以切割溝槽144具有暴露出隔離結構124的第一底面144A(如第2F-2和2F-3圖所示)、以及暴露出基底102的第二底面144B(如第2F-2和2F-5圖所示),第二底面144B位於比第一底面144A深的位置。
根據一些實施例,在蝕刻製程期間,第一鰭結構118相鄰於切割溝槽144的部分被閘極間隔層132覆蓋,因而保持未被蝕刻,如第2F-5圖所示。第一鰭結構118的第三半導體層110、第四半導體層112、第一半導體層104以及下部鰭元件103的各自剩餘部分分別標示為第三半導體層110’、第四半導體層112’、第一半導體層104’以及下部鰭元件103’,它們共同形成相鄰於切割溝槽144的半導體堆疊,如第2F-5圖所示。
第2G-1圖是根據一些實施例,繪示在形成隔離部件146之後的半導體結構12。第2G-2、2G-3、2G-4和2G-5圖是沿著第2G-1圖中的線Y1-Y1、線X1-X1、線Y2-Y2、以及線X2-X2擷取的剖面示意圖。
根據一些實施例,形成隔離部件146於切割溝槽144中,如第2G-1至2G-4和2G-5圖所示。根據一些實施例,隔離部件146包含介電襯層148和位於介電襯層148之上的介電填充層150。根據一些實施例,隔離部件146隔開且電性隔離虛設閘極結構1263的相鄰區段、以及隔開且電性隔離第一鰭結構118 的相鄰區段。隔離部件146具有延伸進入隔離結構124的第一底面146A(如第2G-2和2G-3圖所示)、以及延伸進入基底102的第二底面146B(如第2G-2和2G-5圖所示),第二底面146B位於比第一底面146A深的位置。
在一些實施例中,介電襯層148由介電材料形成,例如氧化矽,而介電填充層150由介電材料形成,例如氮化矽、氮氧化矽、氮碳氧化矽、氮碳化矽、碳氧化矽、或前述之組合。介電襯層148作用為內襯,由於比起介電填充層150,介電襯層148更能黏附至第一鰭結構118,並且介電襯層148隔開介電填充層150與第一鰭結構118,以防止因介電填充層150與第一鰭結構118之間接觸導致的不期望的表面電荷和應力。在一些實施例中,使用化學氣相沉積(例如,高密度電漿化學氣相沉積(HDP-CVD)、電漿增強化學氣相沉積(PECVD)、或高縱深比填溝製程(HARP))、其他適合技術、及/或前述之組合,沉積介電襯層148和介電填充層150。之後,可以對半導體結構12進行平坦化製程,例如化學機械研磨(CMP),以移除介電襯層148和介電填充層150在層間介電層138上表面之上的部分。平坦化製程也移除圖案化遮罩層140(第2F-2圖)。在一些實施例中,隔離部件146的上表面與層間介電層138的上表面大致共平面。
第2H-1圖是根據一些實施例,繪示在通道釋放(channel releasing)製程之後的半導體結構12。第2H-2、2H-3、2H-4、2H-5和2H-6圖是沿著第2H-1圖中的線Y1-Y1、線X1-X1、線Y2-Y2、線X2-X2以及線X3-X3擷取的剖面示意圖。
根據一些實施例,對半導體結構12進行通道釋放製程。根據一些實施例,首先使用蝕刻製程,移除虛設閘極結構126,以形成複數個閘極溝槽152,如第2H-1至2H-5圖所示。根據一些實施例,閘極溝槽152包含閘極溝槽 1521、1522、1523和1524。根據一些實施例,閘極溝槽152暴露第一鰭結構118和第二鰭結構120的通道區。在一些實施例中,閘極溝槽152暴露出閘極間隔層132面向通道區的內側壁,如第2H-3、2H-5和2H-6圖所示。在一些實施例中,閘極溝槽152暴露出隔離部件146的側壁,如第2H-1和2H-2圖所示。
在一些實施例中,蝕刻製程包含一或多道蝕刻製程。舉例而言,當虛設閘極電極層130由多晶矽形成時,可使用例如四甲基氫氧化銨(tetramethylammonium hydroxide,TMAH)溶液的濕蝕刻劑,以選擇性移除虛設閘極電極層130。舉例而言,接著可使用電漿乾式蝕刻、乾式化學蝕刻、及/或濕式蝕刻移除虛設閘極介電層128。
根據一些實施例,通道釋放製程也包含使用蝕刻移除第一半導體層104和第四半導體層112。根據一些實施例,移除第一鰭結構118的第一半導體層104和第四半導體層112,以形成間隙154,如第2H-4和2H-5圖所示。根據一些實施例,移除第二鰭結構120的第一半導體層104,以形成間隙156。
根據一些實施例,間隙154形成於相鄰的第三半導體層110之間且形成於最低的第三半導體層110與下部鰭元件103之間。在蝕刻製程之後,第三半導體層110的四個主表面暴露出來。根據一些實施例,暴露出來的第三半導體層110形成奈米結構,奈米結構可作用為所得到的半導體裝置(例如,全繞式閘極場效電晶體)的通道區。在本文中,「奈米結構」的用語表示具有柱狀(cylindrical shape)、棒狀(bar shape)及/或片狀(sheet shape)的半導體層。根據一些實施例,奈米結構(例如,奈米線(nanowire)結構或奈米片(nanosheet))延伸於源極/汲極部件134之間。
根據一些實施例,間隙156形成於第二半導體層106與下部鰭元 件103之間。在形成間隙156之後,第二鰭結構120的第二半導體層106也可稱為浮置鰭元件,其懸浮於下部鰭元件103之上。根據一些實施例,第二鰭結構120的浮置鰭元件106可作用為所得到的半導體裝置(例如,鰭式場效電晶體)的通道區。
在一些實施例中,最高的奈米結構110的上表面與浮置鰭元件106的上表面大致齊平。在一些實施例中,最低的奈米結構110的底面與浮置鰭元件106的底面大致齊平。
在一些實施例中,蝕刻製程包含選擇性濕式蝕刻製程,例如,氫氧化氨-過氧化氫-水混合物(ammonia hydroxide-hydrogen peroxide-water mixture,APM)。在一些實施例中,濕式蝕刻製程使用蝕刻劑,例如,氫氧化銨(ammonium hydroxide,NH4OH)、四甲基氫氧化銨(TMAH)、乙二胺鄰苯(ethylenediamine pyrocatechol,EDP)、及/或氫氧化鉀(potassium hydroxide,KOH)溶液。
根據一些實施例,在通道釋放製程之後,形成內間隔層158於間隙154中和間隙156中,如第2H-5和2H-6圖所示。根據一些實施例,內間隔層158形成於源極/汲極部件134和136被間隙154和156暴露出來的表面上。根據一些實施例,內間隔層158對準於閘極間隔層132下方。根據一些實施例,內間隔層158形成於源極/汲極部件134和136與後續形成的最終閘極堆疊之間,且配置以降低最終閘極堆疊與源極/汲極部件之間的寄身電容(parasitic capacitance)(即Cgs和Cgd)。
在一些實施例中,內間隔層158由介電材料形成,例如碳氧化矽(SiOC)、氮碳氧化矽(SiOCN)、氮碳化矽(SiCN)、及/或前述之組合。在一些實施例中,使用沉積製程,後續進行蝕刻製程形成內間隔層158。在一些實施例中, 沉積製程包含化學氣相沉積(例如,電漿增強化學氣相沉積(PECVD)或低壓化學氣相沉積(LPCVD))、原子層沉積(ALD)、其他適合技術、及/或前述之組合。在一些實施例中,蝕刻製程包含電漿乾式蝕刻、乾式化學蝕刻、及/或濕式蝕刻。
第2I-1圖是根據一些實施例,繪示在形成複數個最終閘極堆疊160之後的半導體結構12。第2I-2、2I-3、2I-4、2I-5和2I-6圖是沿著第2I-1圖中的線Y1-Y1、線X1-X1、線Y2-Y2、線X2-X2以及線X3-X3擷取的剖面示意圖。
根據一些實施例,形成複數個最終閘極堆疊160於半導體結構12之上,如第2I-1至2I-6圖所示。最終閘極堆疊160包含最終閘極堆疊1601、1602、1603和1604。根據一些實施例,最終閘極堆疊160形成以填充閘極溝槽152以及間隙154和156。根據一些實施例,最終閘極堆疊160延伸橫跨第一鰭結構118的奈米結構110以及第二鰭結構120的浮置鰭元件106。
根據一些實施例,最終閘極堆疊1601、1602、1603和1604各自包含界面層(interfacial layer)162、高介電常數(high-k)閘極介電層164、和金屬閘極電極層166,如第2I-2至2I-6圖所示。根據一些實施例,界面層162形成於奈米結構110、浮置鰭元件106和下部鰭元件103的暴露表面,如第2I-2至2I-6圖所示。根據一些實施例,界面層162環繞奈米結構110和浮置鰭元件106。在一些實施例中,界面層162是由化學形成的氧化矽所形成。在一些實施例中,使用一或多道清潔製程,例如包含臭氧(O3)、氫氧化銨-過氧化氫-水混合物、及/或鹽酸-過氧化氫-水混合物,形成界面層162。因此,根據一些實施例,奈米結構110、浮置鰭元件106和下部鰭元件103之部分半導體材料被氧化,而形成界面層162。
根據一些實施例,高介電常數閘極介電層164順應性地沿著界面層162形成,以環繞奈米結構110以及浮置鰭元件106,如第2I-2至2I-6圖所示。根據一些實施例,高介電常數閘極介電層164也順應性地沿著內間隔層158面向通道區的內側壁、且沿著閘極間隔層124面向通道區的內側壁形成,如第2I-5和2I-6圖所示。根據一些實施例,因為第一半導體層104比第四半導體層112薄,最低的間隙154的剩餘部分和間隙156的剩餘部分(第2H-4至2H-6圖)被高介電常數閘極介電層164大致填滿,同時其他的間隙154被高介電常數閘極介電層164部分填充,如第2I-4至2I-6圖所示。根據一些實施例,高介電常數閘極介電層164也順應性地沿著隔離部件146的側壁形成,如第2I-1和2I-2圖所示根據一些實施例,高介電常數閘極介電層164也順應性地沿著隔離結構124的上表面形成,如第2I-2和2I-4圖所示。
在一些實施例中,高介電常數閘極介電層164由具有高介電常數(k value)的介電材料形成,例如大於3.9,在一些實施例中,高介電常數介電層包含氧化鉿(HfO2)、TiO2、HfZrO、Ta2O3、HfSiO4、ZrO2、ZrSiO2、LaO、AlO、ZrO、TiO、Ta2O5、Y2O3、SrTiO3(STO)、BaTiO3(BTO)、BaZrO、HfZrO、HfLaO、HfSiO、LaSiO、AlSiO、HfTaO、HfTiO、(Ba,Sr)TiO3(BST)、Al2O3、Si3N4、氮氧化矽(SiON)、前述之組合、或其它適合材料。在一些實施例中,使用原子層沉積(ALD)、物理氣相沉積(PVD)、化學氣相沉積(CVD)、及/或其他適合技術,形成高介電常數閘極介電層164。
根據一些實施例,金屬閘極電極層166形成於高介電常數閘極介電層164之上,並且填充閘極溝槽152和間隙154的剩餘部分,如第2I-1至2I-6圖所示。根據一些實施例,金屬閘極電極層166環繞奈米結構110和浮置鰭元件 106。在一些實施例中,金屬閘極電極層166由多於一種導電材料形成,例如金屬、金屬合金、導電金屬氧化物、及/或金屬氮化物、其他適合導電材料、及/或前述之組合。金屬閘極電極層166可以是具有以下層之多種組合的多層結構:擴散阻障層、針對n通道電晶體和p通道電晶體具有選定功函數的功函數層(增強裝置性能,例如臨界電壓)、蓋層(防止功函數層氧化)、膠黏層(將功函數層黏附至下一層)、以及金屬填充層(降低閘極堆疊的阻值)、及/或其他適合的層。
在一些實施例中,金屬閘極電極層166可以由Ti、Ag、Al、TiAlN、TaC、TaCN、TaSiN、Mn、Zr、TiN、TaN、Ru、Mo、Al、WN、Cu、W、Re、Ir、Co、Ni、其他適合導電材料、或前述之多層。可使用原子層沉積(ALD)、物理氣相沉積(PVD)化學氣相沉積(CVD)、電子束蒸鍍、或其他適合製程,形成金屬閘極電極層166。此外,對於使用不同的閘極電極材料及/或不同的功函數材料的N型場效電晶體和P型場效電晶體,可分開形成金屬閘極電極層166。
根據一些實施例,對半導體結構12進行平坦化製程,例如化學機械研磨(CMP),以移除形成於層間介電層138上表面之上的高介電常數閘極介電層164和金屬閘極電極層166。根據一些實施例,在平坦化製程之後,金屬閘極電極層166的上表面、隔離部件146的上表面與層間介電層138的上表面大致共平面。
根據一些實施例,界面層162、高介電常數閘極介電層164和金屬閘極電極層166結合形成最終閘極堆疊1601、1602、1603和1604。最終閘極堆疊160可接合電晶體的通道區(即,第一鰭結構118的奈米結構110和第二鰭結構120的浮置鰭元件106),使得在操作期間,電流可在源極/汲極部件134之間流通,以及在源極/汲極部件136之間流通。在一些實施例中,最終閘極堆疊1601、 1602、1603和1604在Y方向上延伸。也就是說,根據一些實施例,最終閘極堆疊1601、1602、1603和1604的長軸方向平行於Y方向。最終閘極堆疊160在X方向上排列。此外,根據一些實施例,最終閘極堆疊1603被隔離部件146隔開為兩個區段(或稱為子閘極堆疊),如第2I-1和2I-2圖所示。
最終閘極堆疊160插入源極/汲極部件134的部分與源極/汲極部件134結合成為全繞式閘極場效電晶體(GAA FET)T1,如第2I-1和2I-5圖所示。也就是說,根據一些實施例,全繞式閘極場效電晶體T1形成於第一鰭結構118與最終閘極堆疊160的交叉點,除了第一鰭結構118與最終閘極堆疊1603的交叉點,此處被隔離部件146佔據。根據一些實施例,隔離部件146位於兩個全繞式閘極場效電晶體T1之間,並將它們電性隔離,如第2I-1和2I-5圖所示。此外,根據一些實施例,包含第四半導體層112’、第三半導體層110’、第一半導體層104’和下部鰭元件103’的半導體堆疊位於全繞式閘極場效電晶體T1的源極/汲極部件134和隔離部件146之間,如第2I-5圖所示。
最終閘極堆疊160插入源極/汲極部件136的部分與源極/汲極部件136結合成為鰭式場效電晶體(FinFET)T2,如第2I-1和2I-6圖所示。也就是說,根據一些實施例,鰭式場效電晶體T2形成於第二鰭結構120與最終閘極堆疊160的交叉點。
第2J-1圖是根據一些實施例,繪示在形成閘極切割開口172之後的半導體結構12。第2I-2、2I-3和2I-4圖是沿著第2J-1圖中的線Y1-Y1、線X1-X1、以及線Y2-Y2擷取的剖面示意圖。
根據一些實施例,對最終閘極堆疊160進行切割製程,以形成閘極切割開口172,如第2J-1、2J-2和2J-4圖所示。根據一些實施例,閘極切割開 口172將每一個最終閘極堆疊160切割為複數個區段。根據一些實施例,閘極切割開口172穿過最終閘極結構160形成,並且暴露出隔離結構124,如第2J-2和2J-4圖所示。在一些實施例中,這些切割開口172沿著Y方向的寬度彼此大致相同。
根據一些實施例,切割製程包含形成圖案化遮罩層168於半導體結構12之上,如第2J-1至2J-4圖所示。在一些實施例中,圖案化遮罩層168具有對應於閘極切割開口172的開口170,但是與鰭結構118和120錯開,如第2J-1圖所示。根據一些實施例,之後進行蝕刻製程,以移除部分的金屬閘極電極層168和高介電常數閘極介電層166,直到隔離結構124暴露出來。後續第5A-5D圖將詳細討論蝕刻製程。
此外,根據一些實施例,圖案化遮罩層168的開口170部分重疊隔離部件146,使得相鄰於隔離部件146的兩個閘極切割開口172形成穿過部分的隔離部件146,以移除部分的介電襯層148和介電填充層150,如第2J-2圖所示。
第2K-1圖是根據一些實施例,繪示在形成閘極切割部件174之後的半導體結構12。第2K-2、2K-3和2K-4圖是沿著第2K-1圖中的線Y1-Y1、線X1-X1、以及線Y2-Y2擷取的剖面示意圖。
根據一些實施例,閘極切割部件174形成於閘極切割開口172中,如第2K-1至2K-4圖所示。根據一些實施例,閘極切割部件174隔開且電性隔離最終閘極堆疊160的相鄰區段,使得原本共用同一個最終閘極堆疊160的全繞式閘極場效電晶體T1和相鄰的鰭式場效電晶體T2彼此電性隔離。根據一些實施例,相鄰於隔離部件146的閘極切割部件174與介電襯層148和介電填充層 150兩者接觸。根據一些實施例,介電襯層148延伸低於閘極切割部件174,如第2K-2圖所示。
在一些實施例中,閘極切割部件174由介電材料形成,例如氧化矽、氮化矽、氮氧化矽、其他適合介電材料、或前述之組合。在一些實施例中,使用化學氣相沉積(例如,高密度電漿化學氣相沉積(HDP-CVD)、電漿增強化學氣相沉積(PECVD)、或高縱深比填溝製程(HARP))、其他適合技術、及/或前述之組合,沉積用於閘極切割部件174的介電材料。之後,可以對半導體結構12進行平坦化製程,例如化學機械研磨(CMP),以移除在層間介電層138上表面之上的介電材料。平坦化製程也移除圖案化遮罩層168。在一些實施例中,閘極切割部件174的上表面、隔離部件146的上表面、層間介電層138的上表面與金屬閘極電極層166的上表面大致共平面。
本發明實施例的觀點涉及形成方法和結構,其中提供包含全繞式閘極場效電晶體T1和鰭式場效電晶體T2於同一片半導體基底之上的混合結構。根據一些實施例,混合結構還包含插入兩個相鄰的全繞式閘極場效電晶體T1之間的隔離部件146、以及插入全繞式閘極場效電晶體T1與鰭式場效電晶體T2之間的閘極切割部件174。
在最終閘極堆疊置換虛設閘極結構之前就先形成閘極切割部件的情況下,在主動區與閘極切割部件之間填入高介電常數閘極介電層和金屬閘極電極層的容許度(fill window)可能太小,以致於無法形成可靠的最終閘極堆疊,這導致低良率(low yield)。在形成金屬閘極堆疊之後才形成隔離部件的情況下,由於各種材料(例如金屬、介電質以及半導體)之間蝕刻選擇性的差異,難以蝕穿最終閘極堆疊並同時蝕刻主動區,以形成用於隔離部件的切割溝槽。
本發明實施例提供半導體結構的形成方法,其中隔離部件是在最終閘極堆疊的置換製程之前形成,並且閘極切割部件是在形成最終閘極堆疊之後形成。如此,本發明實施例的方法可降低形成切割溝槽的蝕刻製程的難度,並且擴大金屬閘極結構的填充容許度,從而改善裝置性能和產品良率。因此,本發明實施例提供的混合結構,對於包含不同種類裝置的積體電路(例如,邏輯裝置、記憶體裝置等),可實現較低製程難度和較大設計彈性。
第3圖是根據本發明的一些實施例,繪示半導體結構的形成方法1000的流程圖。根據一些實施例,方法1000用於形成前述第2A至2K-4圖的半導體結構12。
根據一些實施例,在步驟1002,提供基底102,如第2A圖所示。根據一些實施例,在步驟1004,形成包含第一半導體層104和第二半導體層106的第一磊晶堆疊於基底102之上,如第2A圖所示。根據一些實施例,在步驟1006,蝕刻第一磊晶堆疊以形成凹陷108,如第2B圖所示。根據一些實施例,在步驟1008,從凹陷108形成包含第三半導體層110和第四半導體層112的第二磊晶堆疊,如第2C圖所示。
根據一些實施例,在步驟1010,形成第一鰭結構118和第二鰭結構120,如第2D-1和2D-2圖所示。根據一些實施例,在步驟1012,形成隔離結構124圍繞第一鰭結構118和第二鰭結構120的下部鰭元件103,如第2E-1至2E-5圖所示。根據一些實施例,在步驟1014,形成虛設閘極結構126橫跨第一鰭結構118和第二鰭結構120,且形成閘極間隔層132沿著虛設閘極結構126,如第2E-1至2E-5圖所示。根據一些實施例,在步驟1016,形成源極/汲極部件134和136於第一鰭結構118和第二鰭結構120之上,且形成層間介電層138於 源極/汲極部件134和136之上,如第2E-1至2E-5圖所示。
根據一些實施例,在步驟1018,形成切割溝槽144穿過第一鰭結構118,如第2F-1至2F-5圖所示。根據一些實施例,在步驟1020,形成隔離部件146於切割溝槽144中,如第2G-1至2G-5圖所示。
根據一些實施例,在步驟1022,移除虛設閘極結構126和進行通道釋放製程,以形成第一鰭結構118的奈米結構110和第二鰭結構120的浮置鰭元件106,如第2H-1至2H-5圖所示。根據一些實施例,在步驟1024,形成內間隔層158,如第2H-1至2H-6圖所示。根據一些實施例,在步驟1026,形成最終閘極堆疊160橫跨奈米結構110和浮置鰭元件106,如第2I-1至2I-5圖所示。
根據一些實施例,在步驟1028,形成閘極切割開口170穿過最終閘極堆疊160,如第2J-1至2J-4圖所示。根據一些實施例,在步驟1030,形成閘極切割部件174於閘極切割開口170中,如第2K-1至2K-4圖所示。
第4A-4D圖是根據本發明的一些實施例,繪示形成切割溝槽414的剖面示意圖。第4D圖所示的切割溝槽414可相似於第2F-1至2F-5圖所示的切割溝槽144。
根據一些實施例,形成切割溝槽414包含形成硬遮罩層402於虛設閘極電極層130和層間介電層(未顯示)之上,並且形成三層遮罩結構於硬遮罩層402之上,如第4A圖所示。在一些實施例中,硬遮罩層402由氮化矽、氧化矽、氮氧化矽、及/或前述之組合形成。根據一些實施例,三層遮罩結構包含底層404、底層404之上的中間層406、以及中間層406之上的頂層408。在一些實施例中,頂層408由光阻形成,並且使用微影製程將頂層408圖案化以具有開口410。微影製程可包含光阻塗佈(例如,旋轉塗佈)、軟烘烤、遮罩對準、曝光、 曝光後烘烤、光阻顯影、清洗、乾燥(例如硬烘烤)、其他適合製程、或前述之組合。在一些實施例中,中間層406由無機材料形成,而底層404由摻矽的底部抗反射塗層(BARC)形成。
根據一些實施例,進行蝕刻製程,以移除中間層406、底層404和硬遮罩層402在開口410下方的部分,以形成開口412,如第4B圖所示;移除三層遮罩結構,如第4C圖所示;並且移除虛設閘極電極層130以及虛設閘極介電層128未被圖案化遮罩層402覆蓋的部分,以形成切割開口414,如第4D圖所示。
可在電漿蝕刻腔室進行蝕刻製程,例如Lam Research(位於弗里蒙特,加利福尼亞州)提供的Kiyo蝕刻機。可以包含脈衝開啟(pulse-on)期間和脈衝關閉(pulse-off)期間的脈衝電漿模式(pulsed plasma mode)執行電漿蝕刻腔室。脈衝開啟期間的比例可定義為佔空比(duty cycle)。根據一些實施例,蝕刻製程的步驟包含:(1)去渣(de-scum)步驟,清潔在對頂層408進行微影製程之後留在開口410中的光阻材料,以完全暴露出中間層406;(2)中間層開通(middle-layer open)步驟,蝕刻中間層406;(3)底層開通(bottom-layer open)步驟,蝕刻底層404;(4)硬遮罩開通(hard-mask open)步驟,蝕刻硬遮罩層402;(5)剝除(strip)步驟,移除頂層408、中間層406以及底層404,以暴露出硬遮罩層402;(6)氧化物穿破(oxide break-through)步驟,移除形成於虛設閘極電極層130上的原生氧化物;(7)主蝕刻(main etching)步驟,蝕刻虛設閘極電極層130、虛設閘極介電層128以及第一鰭結構118(包含第三半導體層110、第四半導體層112和下部鰭元件103);(8)過蝕刻(over etching)步驟,控制切割溝槽414停在期望深度;(9)灰化(ashing)步驟,從半導體結構移除殘留物、聚合物及/或副產物。
根據一些實施例,在去渣步驟期間,蝕刻腔室提供範圍在約60伏特(V)至約360V的偏壓(bias)電壓、範圍在約95%至約100%的佔空比、以及範圍在約100瓦至約600瓦的射頻源(RF source)功率。去渣步驟使用流速範圍在約50每分鐘標準立方公分(sccm)至約300sccm的CF4、以及流速範圍在約50sccm至300sccm的Ar作為蝕刻前驅物,並且在約1.5毫托耳(mTorr)至約9mTorr的壓力下持續約4秒至約24秒。
根據一些實施例,在中間層開通步驟期間,蝕刻腔室提供範圍在約200V至約1200V的偏壓電壓、範圍在約95%至約100%的佔空比、以及範圍在約400瓦至約2400瓦的射頻源功率。中間層開通步驟使用流速範圍在約12.5sccm至約75sccm的CH2F2、流速範圍在約37.5sccm至約225sccm的CF4、以及流速範圍在約1.5sccm至約9sccm的O2作為蝕刻前驅物,並且在約5mTorr至約30mTorr的壓力下持續約15秒至約90秒。
根據一些實施例,在底層開通步驟期間,蝕刻腔室提供範圍在約100V至約600V的偏壓電壓、範圍在約95%至約100%的佔空比、以及範圍在約500瓦至約3000瓦的射頻源功率。底層開通步驟使用流速範圍在約50sccm至約30sccm的SO2、流速範圍在約12.5sccm至約75sccm的O2、以及流速範圍在約100sccm至約600sccm的He作為蝕刻前驅物,並且在約3.5mTorr至約21mTorr的壓力下持續約22.5秒至約135秒。
根據一些實施例,在硬遮罩開通步驟期間,蝕刻腔室提供範圍在約200V至約1200V的偏壓電壓、範圍在約95%至約100%的佔空比、以及範圍在約400瓦至約2400瓦的射頻源功率。硬遮罩開通步驟使用流速範圍在約50sccm至約30sccm的CHF3、流速範圍在約2.5sccm至約15sccm的O2、以及流 速範圍在約100sccm至約600sccm的He作為蝕刻前驅物,並且在約2.5mTorr至約15mTorr的壓力下持續約10秒至約60秒。
根據一些實施例,在剝除步驟期間,蝕刻腔室提供範圍在約15V至約90V的偏壓電壓、範圍在約95%至約100%的佔空比、以及範圍在約500瓦至約3000瓦的射頻源功率。剝除步驟使用流速範圍在約10sccm至約60sccm的O2作為蝕刻前驅物,並且在約5mTorr至約30mTorr的壓力下持續約15秒至約90秒。
根據一些實施例,在氧化物穿破步驟期間,蝕刻腔室提供範圍在約30V至約180V的偏壓電壓、範圍在約95%至約100%的佔空比、以及範圍在約150瓦至約900瓦的射頻源功率。氧化物穿破步驟使用流速範圍在約10sccm至約60sccm的CF4、流速範圍在約20sccm至約120sccm的Ar作為蝕刻前驅物,並且在約2.5mTorr至約15mTorr的壓力下持續約7.5秒至約45秒。
根據一些實施例,在主蝕刻步驟期間,蝕刻腔室提供範圍在約350V至約2100V的偏壓電壓、範圍在約95%至約100%的佔空比、以及範圍在約700瓦至約4200瓦的射頻源功率。主蝕刻步驟使用流速範圍在約150sccm至約900sccm的HBr、流速範圍在約5sccm至30sccm的O2、流速範圍在約400sccm至約2400sccm的He以及作為蝕刻前驅物,並且在約40mTorr至約240mTorr的壓力下持續約30秒至約180秒。
根據一些實施例,在過蝕刻步驟期間,蝕刻腔室提供範圍在約700V至約4200V的偏壓電壓、範圍在約5%至約8%的佔空比、以及範圍在約200瓦至約1200瓦的射頻源功率。過蝕刻步驟使用流速範圍在約2.5sccm至約15sccm的SiCH4、流速範圍在約25sccm至約150sccm的N2、流速範圍在約150sccm 至約900sccm的Cl2以及作為蝕刻前驅物,並且在約40mTorr至約240mTorr的壓力下持續約30秒至約180秒。過蝕刻步驟的佔空比遠低於主蝕刻步驟的佔空比,可精準控制蝕刻以延伸切割溝槽414至期望深度,例如至基底102中。
根據一些實施例,在灰化步驟期間,蝕刻腔室提供範圍在約15V至約90V的偏壓電壓、範圍在約95%至約100%的佔空比、以及範圍在約500瓦至約3000瓦的射頻源功率。灰化步驟使用流速範圍在約10sccm至約60sccm的O2,並且在約5mTorr至約30mTorr的壓力下持續約15秒至約90秒。
在一些實施例中,在同一個蝕刻腔室原位進行蝕刻製程的步驟,以防止半導體結構免於暴露於含氧環境。在蝕刻製程之後,使用硫酸過氧化氫混合物(SPM,H2SO4+H2O2)及/或稀鹽酸(dHF),清潔半導體結構。
第5A-5D圖是根據本發明的一些實施例,繪示形成閘極切割開口518的剖面示意圖。第5D圖所示的閘極切割開口518可相似於第2J-1至2J-4圖所示的閘極切割開口172。
根據一些實施例,形成閘極切割開口518包含形成金屬保護層502於金屬閘極電極層166、隔離部件146以及層間介電層(未顯示)之上,形成硬遮罩層504於金屬保護層502之上,以及形成三層遮罩結構於硬遮罩層504之上,如第5A圖所示。在一些實施例中,金屬保護層502保護金屬閘極電極材料免於氧化,並且可由TiN形成。在一些實施例中,硬遮罩層504由氮化矽、氧化矽、氮氧化矽、及/或前述之組合形成。根據一些實施例,三層遮罩結構包含底層506、底層506之上的中間層508、以及中間層508之上的頂層510。在一些實施例中,頂層510由光阻形成,並且使用微影製程將頂層510圖案化以具有開口512;中間層508由無機材料形成,而底層506由摻矽的底部抗反射塗層(BARC)形成。
根據一些實施例,進行第一蝕刻製程,以移除中間層508、底層506和硬遮罩層504在開口512下方的部分以形成開口514,如第5B圖所示。
可在電漿蝕刻腔室進行第一蝕刻製程,例如Kiyo蝕刻機。第一蝕刻製程的步驟包含:(1)去渣(de-scum)步驟,清潔在對頂層510進行微影製程之後留在開口512中的光阻材料,以完全暴露出中間層508;(2)中間層開通步驟,蝕刻中間層508;(3)底層開通步驟,蝕刻底層506;(4)硬遮罩開通步驟,蝕刻硬遮罩層504,以暴露出金屬保護層502。
根據一些實施例,在去渣步驟期間,蝕刻腔室提供範圍在約60V至約360V的偏壓電壓、範圍在約95%至約100%的佔空比、以及範圍在約100瓦至約600瓦的射頻源功率。去渣步驟使用流速範圍在約50sccm至約300sccm的CF4、以及流速範圍在約50sccm至約300sccm的Ar作為蝕刻前驅物,並且在約1.5mTorr至約9mTorr的壓力下持續約4秒至約24秒。
根據一些實施例,在中間層開通步驟期間,蝕刻腔室提供範圍在約200V至約1200V的偏壓電壓、範圍在約95%至約100%的佔空比、以及範圍在約400瓦至約2400瓦的射頻源功率。中間層開通步驟使用流速範圍在約12.5sccm至約75sccm的CH2F2、流速範圍在約37.5sccm至約225sccm的CF4、以及流速範圍在約1.5sccm至9sccm的O2作為蝕刻前驅物,並且在約5mTorr至約30mTorr的壓力下持續約15秒至約90秒。
根據一些實施例,在底層開通步驟期間,蝕刻腔室提供範圍在約100V至約600V的偏壓電壓、範圍在約95%至約100%的佔空比、以及範圍在約500瓦至約3000瓦的射頻源功率。底層開通步驟使用流速範圍在約50sccm至約30sccm的SO2、流速範圍在約12.5sccm至75sccm的O2、以及流速範圍 在約100sccm至約600sccm的He作為蝕刻前驅物,並且在約3.5mTorr至約21mTorr的壓力下持續約22.5秒至約135秒。
根據一些實施例,在硬遮罩開通步驟期間,蝕刻腔室提供範圍在約200V至約1200V的偏壓電壓、範圍在約95%至約100%的佔空比、以及範圍在約400瓦至約2400瓦的射頻源功率。硬遮罩開通步驟使用流速範圍在約50sccm至300sccm的CHF3、流速範圍在約2.5sccm至約15sccm的O2、以及流速範圍在約100sccm至約600sccm的He作為蝕刻前驅物,並且在約2.5mTorr至約15mTorr的壓力下持續約25秒至約150秒。
在一些實施例中,第一蝕刻製程還包含在硬遮罩開通步驟之後的剝除步驟,以移除三層遮罩結構。在一些實施例中,在同一個蝕刻腔室原位進行第一蝕刻製程的步驟。在第一蝕刻製程之後,可使用硫酸過氧化氫混合物及/或稀鹽酸(dHF),清潔半導體結構。
由於在第一蝕刻製程期間,同時發生垂直蝕刻和橫向蝕刻,開口514可能會擴大而具有比目標臨界尺寸(CD)大的臨界尺寸。在一些實施例中,順應性地形成介電層516於半導體結構之上,以部分填充開口514,以回復開口514擴大的臨界尺寸,如第5C圖所示。部分填充介電層516之後的開口514標示為開口514’。在一些實施例中,介電層516由與硬遮罩層504相同材料形成,例如SiN。
根據一些實施例,進行第二蝕刻製程,以移除介電層516、金屬閘極電極層166和高介電常數閘極介電層164在開口514’下方的部分以形成閘極切割開口518,如第5D圖所示。在一些實施例中,第二蝕刻製程也可移除隔離部件146在開口514’下方的部分。
可在電漿蝕刻腔室進行第二蝕刻製程,例如Kiyo蝕刻機。第二蝕刻製程的步驟包含:(1)硬遮罩開通步驟,蝕刻介電層516;(2)保護層開通步驟,蝕刻保護層502;(3)-(6)第一、第二、第三和第四主蝕刻步驟,蝕刻閘極電極層166和高介電常數閘極介電層164,並且控制閘極切割開口518停在期望深度。第一、第二、第三和第四主蝕刻步驟用來移除最終閘極堆疊的不同材料(例如,金屬、金屬氮化物、高介電常數介電質等),並且可重複第一、第二、第三和第四主蝕刻步驟數次。
根據一些實施例,在硬遮罩開通步驟期間,蝕刻腔室提供範圍在約200V至約1200V的偏壓電壓、範圍在約95%至約100%的佔空比、以及範圍在約400瓦至約2400瓦的射頻源功率。硬遮罩開通步驟使用流速範圍在約50sccm至300sccm的CHF3、流速範圍在約2.5sccm至約15sccm的O2、以及流速範圍在約100sccm至約600sccm的He作為蝕刻前驅物,並且在約2.5mTorr至約15mTorr的壓力下持續約7.5秒至約45秒。
根據一些實施例,在保護層開通步驟期間,蝕刻腔室提供範圍在約100V至約600V的偏壓電壓、範圍在約95%至約100%的佔空比、以及範圍在約400瓦至約2400瓦的射頻源功率。保護層開通步驟使用流速範圍在約50sccm至300sccm的Cl2、流速範圍在約10sccm至約60sccm的BCl3、以及流速範圍在約10sccm至約10000sccm的Ar作為蝕刻前驅物,並且在約1.5mTorr至約9mTorr的壓力下持續約7.5秒至約45秒。
根據一些實施例,在第一主蝕刻步驟期間,蝕刻腔室提供範圍在約150V至約900V的偏壓電壓、範圍在約45%至約55%的佔空比、以及範圍在約600瓦至約3600瓦的射頻源功率。第一主蝕刻步驟使用流速範圍在約50 sccm至300sccm的Cl2、流速範圍在約10sccm至約60sccm的BCl3、以及流速範圍在約10sccm至約10000sccm的Ar作為蝕刻前驅物,並且在約15mTorr至約90mTorr的壓力下持續約5秒至約30秒。
根據一些實施例,在第二主蝕刻步驟期間,蝕刻腔室提供範圍在約300V至約1800V的偏壓電壓、範圍在約45%至約55%的佔空比、以及範圍在約600瓦至約3600瓦的射頻源功率。第二主蝕刻步驟使用流速範圍在約50sccm至300sccm的Cl2、流速範圍在約10sccm至約60sccm的BCl3、以及流速範圍在約10sccm至約10000sccm的Ar作為蝕刻前驅物,並且在約30mTorr至約180mTorr的壓力下持續約7.5秒至約45秒。
根據一些實施例,在第三主蝕刻步驟期間,蝕刻腔室提供範圍在約150V至約900V的偏壓電壓、範圍在約45%至約55%的佔空比、以及範圍在約600瓦至約3600瓦的射頻源功率。第三主蝕刻步驟使用流速範圍在約50sccm至300sccm的Cl2、流速範圍在約10sccm至約60sccm的BCl3、以及流速範圍在約10sccm至約10000sccm的Ar作為蝕刻前驅物,並且在約15mTorr至約90mTorr的壓力下持續約5秒至約30秒。
根據一些實施例,在第四主蝕刻步驟期間,蝕刻腔室提供範圍在約300V至約1800V的偏壓電壓、範圍在約5%至約20%的佔空比、以及範圍在約600瓦至約3600瓦的射頻源功率。第三主蝕刻步驟使用流速範圍在約50sccm至300sccm的Cl2、流速範圍在約10sccm至約60sccm的BCl3、以及流速範圍在約10sccm至約10000sccm的Ar作為蝕刻前驅物,並且在約30mTorr至約180mTorr的壓力下持續約7.5秒至約45秒。第四主蝕刻步驟的佔空比遠低於第一、第二和第三主蝕刻步驟的佔空比,可精準控制蝕刻以延伸閘極切割開口518 至期望深度。
根據一些實施例,第二蝕刻製程也可包含在最後一個循環的第四主蝕刻步驟之後的灰化步驟,以從半導體結構移除殘留物、聚合物及/或副產物。在一些實施例中,在同一個蝕刻腔室原位進行第二蝕刻製程的步驟。在第二蝕刻製程之後,可使用稀鹽酸(dHF)及/或氫氧化氨-過氧化氫-水混合物(標準清潔1),清潔半導體結構。
第6-1圖是根據本發明的一些實施例,繪示半導體結構14的上視示意圖,半導體結構14是第2K-1圖的半導體結構12的修改。第6-2和6-3圖是根據本發明的一些實施例,繪示沿著第6-1圖中的線Y1-Y1和線X3-X3擷取的剖面示意圖。根據一些實施例,半導體結構14相似於半導體結構12,除了形成隔離部件146穿過第二鰭結構120。
根據一些實施例,在進行步驟1016之後,形成切割溝槽(未顯示)穿過虛設閘極結構1263以及第二鰭結構120,並且形成隔離部件146於切割溝槽中。根據一些實施例,切割溝槽(或隔離部件146)對應於虛設閘極結構1263以及第二鰭結構120的交叉點,從而將虛設閘極結構(未顯示)切割為兩個區段,並將第二鰭結構120切割為兩個區段。可使用前面第4A至4D圖所示的步驟形成切割溝槽。根據一些實施例,在進行步驟1022-1030之後,隔離部件146位於兩個鰭式場效電晶體T2之間,並將它們電性隔離,如第6-1圖所示。
此外,根據一些實施例,在形成切割溝槽的蝕刻製成期間,第二鰭結構120相鄰於隔離部件146的部分被閘極間隔物132覆蓋,並且保持未被蝕刻。根據一些實施例,第二鰭結構120的第二半導體層106、第一半導體層104和下部鰭元件103未被蝕刻的部分分別標示為第二半導體層106’、第一半導體 層104’和下部鰭元件103’,如第6-3圖所示。根據一些實施例,包含第二半導體層106’、第一半導體層104’和下部鰭元件103’的半導體堆疊位於鰭式場效電晶體T2的源極/汲極部件136與隔離部件146之間,如第6-3圖所示。
第7-1圖是根據本發明的一些實施例,繪示半導體結構16的上視示意圖,半導體結構16是第2K-1圖的半導體結構12的修改。第7-2至7-4圖是根據本發明的一些實施例,繪示沿著第6-1圖中的線Y1-Y1、線X2-X2和線X3-X3擷取的剖面示意圖。根據一些實施例,半導體結構16相似於半導體結構12,除了形成隔離部件146穿過第一鰭結構118和第二鰭結構120兩者。
根據一些實施例,在進行步驟1016之後,形成切割溝槽(未顯示)穿過虛設閘極結構1263以及第一鰭結構118和第二鰭結構120,並且形成隔離部件146於切割溝槽中。根據一些實施例,切割溝槽(或隔離部件146)將虛設閘極結構(未顯示)切割為兩個區段,將第一鰭結構118切割為兩個區段,並將第二鰭結構120切割為兩個區段。可使用前面第4A至4D圖所示的步驟形成切割溝槽。根據一些實施例,在進行步驟1022-1030之後,隔離部件146位於兩個全繞式閘極場效電晶體T1之間,且位於兩個鰭式場效電晶體T2之間,如第7-1、7-3和7-4圖所示。
第8-1、9-1和10-1圖是根據本發明的一些實施例,繪示半導體結構18、20和22的上視示意圖,半導體結構18、20和22分別是第2K-1、6-1和7-1圖的半導體結構12、14和16的修改。第8-2、9-2和10-2圖是根據本發明的一些實施例,繪示沿著第8-1、9-1和10-1圖中的線Y1-Y1擷取的剖面示意圖。根據一些實施例,半導體結構18、20和22分別相似於半導體結構12、14和16,除了沒有形成相鄰於隔離部件146的閘極切割開口。如此,根據一些實施例, 最終閘極堆疊1603的高介電常數閘極介電層164沿著隔離部件146的介電襯層148形成,且與其接觸。
第11-1、12-1和13-1圖是根據本發明的一些實施例,繪示半導體結構24、26和28的上視示意圖,半導體結構24、26和28分別是第8-1、9-1和10-1圖的半導體結構18、20和22的修改。第11-2、12-2和13-2圖是根據本發明的一些實施例,繪示沿著第11-1、12-1和13-1圖中的線Y1-Y1擷取的剖面示意圖。根據一些實施例,半導體結構24、26和28分別相似於半導體結構18、20和22,除了最終閘極堆疊1603的高介電常數閘極介電層164沿著隔離部件146的介電襯層148和介電填充層150形成,並與它們接觸。根據一些實施例,這是因為在步驟1022期間,介電襯層148接觸虛設閘極結構1263的部分也被移除,從而從隔離溝槽1523暴露出介電填充層150。
第14-1、15-1和16-1圖是根據本發明的一些實施例,繪示半導體結構30、32和34的上視示意圖,半導體結構30、32和34分別是第2K-1、6-1和7-1圖的半導體結構12、14和16的修改。第14-2、15-2和16-2圖是根據本發明的一些實施例,繪示沿著第14-1、15-1和16-1圖中的線Y1-Y1擷取的剖面示意圖。根據一些實施例,半導體結構30、32和34分別相似於半導體結構12、14和16,除了相鄰於隔離部件146的閘極切割部件174A沿著Y方向的長度比不相鄰隔離部件146的閘極切割部件174短。根據一些實施例,這是因為在步驟1028期間,介電襯層148接觸最終閘極堆疊1603的部分保持未被蝕刻。此外,第14-1圖繪示未被閘極切割部件174切割的最終閘極堆疊1604。第14-3圖是根據本發明的一些實施例,繪示沿著第14-1圖中的線Y2-Y2擷取的剖面示意圖。在一些實施例中,最終閘極堆疊1604連續地延伸且環繞第一鰭結構118的奈米 結構110和第二鰭結構120,如第14-1和14-3圖所示。也就是說,全繞式閘極場效電晶體T1和鰭式場效電晶體T2共用一個連續的最終閘極堆疊1604
第17-1、18-1和19-1圖是根據本發明的一些實施例,繪示半導體結構36、38和40的上視示意圖,半導體結構36、38和40分別是第14-1、15-1和16-1圖的半導體結構30、32和34的修改。第17-2、18-2和19-2圖是根據本發明的一些實施例,繪示沿著第17-1、18-1和19-1圖中的線Y1-Y1擷取的剖面示意圖。根據一些實施例,半導體結構36、38和40分別相似於半導體結構30、32和34,除了閘極切割部件174A接觸隔離部件146的介電襯層148和介電填充層150兩者。根據一些實施例,這是因為在步驟1028期間,介電襯層148接觸最終閘極堆疊1603的部分被移除,同時介電填充層150保持未被蝕刻。
第20-1、21-1和22-1圖是根據本發明的一些實施例,繪示半導體結構42、44和46的上視示意圖,半導體結構42、44和46分別是第2K-1、6-1和7-1圖的半導體結構12、14和16的修改。第20-2、21-2和22-2圖是根據本發明的一些實施例,繪示沿著第20-1、21-1和22-1圖中的線Y1-Y1擷取的剖面示意圖。根據一些實施例,半導體結構42、44和46分別相似於半導體結構12、14和16,除了相鄰於隔離部件146的閘極切割部件174B沿著Y方向的長度比不相鄰於隔離部件146的閘極切割部件174長。根據一些實施例,這是因為在步驟1028期間,介電襯層148和介電填充層150遭遇較多的側向蝕刻。
如前所述,半導體結構包含混合結構,混合結構包含位於同一片基底102之上的第一和第二全繞式閘極場效電晶體T1和鰭式場效電晶體T2。第一全繞式閘極場效電晶體T1包含第一奈米結構110和環繞第一奈米結構110的第一閘極堆疊160。第二全繞式閘極場效電晶體T1包含第二奈米結構110和環 繞第二奈米結構110的第二閘極堆疊160。隔離部件146插入第一全繞式閘極場效電晶體T1的第一奈米結構110與第二全繞式閘極場效電晶體T1的第二奈米結構110之間。鰭式場效電晶體T2包含浮置鰭元件106以及在浮置鰭元件106之上的第三閘極堆疊160。第一閘極切割部件174插入隔離部件146與第一鰭式場效電晶體T2的第三閘極堆疊160之間。因此,對於包含不同種類裝置的積體電路,混合結構可實現較低製程難度和較大設計彈性。
此外,半導體結構的形成方法包含在最終閘極堆疊160置換虛設閘極結構126之前,形成隔離部件146,以及在形成最終閘極堆疊160之後,形成閘極切割部件174。如此,本發明實施例的方法可降低形成切割溝槽的蝕刻製程的難度,並且擴大金屬閘極結構的填充容許度,從而改善裝置性能和產品良率。
本文提供半導體結構的實施例。半導體結構可包含第一全繞式閘極場效電晶體和相鄰於第一全繞式閘極場效電晶體的第一鰭式場效電晶體。第一全繞式閘極場效電晶體可包含第一奈米結構、以及圍繞第一奈米結構的第一閘極堆疊。第一鰭式場效電晶體可包含第一浮置鰭元件、以及位於第一浮置鰭元件之上的第二閘極堆疊。半導體結構還可包含閘極切割部件,閘極切割部件可插入第一全繞式閘極場效電晶體的第一閘極堆疊與第一鰭式場效電晶體的第二閘極堆疊之間。因此,透過本發明實施例的半導體結構,對於包含不同種類裝置的積體電路,可實現較低製程難度和較大設計彈性。
在一些實施例中,提供半導體結構。半導體結構可包含位於基底之上的第一全繞式閘極場效電晶體(GAA FET)、以及相鄰於第一全繞式閘極場效電晶體的第一鰭式場效電晶體(FinFET)。第一全繞式閘極場效電晶體包含複數個 第一奈米結構、以及圍繞第一奈米結構的第一閘極堆疊。第一鰭式場效電晶體包含第一鰭結構、以及位於第一鰭結構之上的第二閘極堆疊。半導體結構還包含閘極切割部件,閘極切割部件插入第一全繞式閘極場效電晶體的第一閘極堆疊與第一鰭式場效電晶體的第二閘極堆疊之間。在一些實施例中,第一鰭式場效電晶體包含位於第一浮置鰭元件之下的下部鰭元件。第一浮置鰭元件與下部鰭元件被第二閘極堆疊的閘極介電層的一部分隔開。在一些實施例中,第一鰭式場效電晶體包含內間隔層,其介於第一浮置鰭元件與下部鰭元件之間,且在閘極介電層的上述部分旁邊。在一些實施例中,第一奈米結構中最高的奈米結構的上表面大致齊平於第一鰭結構的上表面。在一些實施例中,第一奈米結構中最低的奈米結構的底面大致齊平於第一浮置鰭元件的底面。在一些實施例中,半導體結構還包含相鄰於第一鰭式場效電晶體的第二鰭式場效電晶體。第二鰭式場效電晶體包含第二鰭結構。半導體結構還包含隔離部件,其插入第一鰭式場效電晶體的第一鰭結構與第二鰭式場效電晶體的第二鰭結構之間。在一些實施例中,半導體結構還包含沿著隔離部件的側壁的下部的半導體堆疊、以及沿著隔離部件的側壁的上部的間隔層。在一些實施例中,半導體結構還包含位於基底之上的第二全繞式閘極場效電晶體。第二全繞式閘極場效電晶體包含複數個第二奈米結構。隔離部件插入第一全繞式閘極場效電晶體的第一奈米結構與第二全繞式閘極場效電晶體的第二奈米結構之間。
在一些實施例中,提供半導體結構。半導體結構包含位於基底之上的第一全繞式閘極場效電晶體、第二全繞式閘極場效電晶體、第一鰭式場效電晶體。第一全繞式閘極場效電晶體包含複數個第一奈米結構、以及環繞第一奈米結構的第一閘極堆疊。第二全繞式閘極場效電晶體包含複數個第二奈米結 構、以及環繞第二奈米結構的第二閘極堆疊。第一鰭式場效電晶體包含第一鰭結構、以及位於第一鰭結構之上的第三閘極堆疊。半導體結構還包含隔離部件,其插入第一全繞式閘極場效電晶體的第一奈米結構與第二全繞式閘極場效電晶體的第二奈米結構之間。半導體結構還包含隔離部件第一閘極切割部件,其插入第一全繞式閘極場效電晶體的第一閘極堆疊與第一鰭式場效電晶體的第三閘極堆疊之間。在一些實施例中,第一全繞式閘極場效電晶體的第一閘極堆疊包含第一閘極介電層、以及位於第一閘極介電層之上的第一閘極電極層。第一閘極介電層和第一閘極電極層直接接觸第一閘極切割部件。在一些實施例中,半導體結構還包含位於基底之上的第二鰭式場效電晶體,第二鰭式場效電晶體包含第二鰭結構、以及位於第二鰭結構之上的第四閘極堆疊。第四閘極堆疊包含第四閘極介電層、以及位於第四閘極介電層之上的第四閘極電極層。第四閘極介電層直接接觸隔離部件,第四閘極電極層與隔離部件被第四閘極介電層隔開。在一些實施例中,隔離部件包含介電填充層、以及圍繞介電填充層且接觸第四閘極介電層的介電襯層。在一些實施例中,介電填充層與第四閘極介電層接觸。
在一些實施例中,提供半導體結構的形成方法。此方法包含依序形成第一半導體層和第二半導體層於基底之上、蝕刻第二半導體層,以形成凹陷於基底的第一區中、從凹陷交替地堆疊複數個第三半導體層與複數個第四半導體層於第一半導體層之上、圖案化第三半導體層、第四半導體層以及第一半導體層,以形成第一鰭結構於基底的第一區中,且圖案化第二半導體層和第一半導體層以形成第二鰭結構於基底的第二區中、從第一鰭結構移除第四半導體層和第一半導體層,以從第一鰭結構的第三半導體層形成複數個奈米結構,且從第二鰭結構移除第一半導體層,以從第二鰭結構的第二半導體層形成浮置鰭 元件、形成第一閘極堆疊橫跨奈米結構和浮置鰭元件、以及在形成第一閘極堆疊之後,形成第一閘極切割部件穿過第一閘極堆疊。在一些實施例中,此方法還包含在形成奈米結構和浮置鰭元件之前,形成隔離部件穿過第一鰭結構。在一些實施例中,此方法還包含形成虛設閘極結構橫跨第一鰭結構和第二鰭結構、形成閘極間隔層沿著虛設閘極結構的側壁、蝕刻虛設閘極結構和第一鰭結構,以形成切割溝槽、以介電材料填充切割溝槽,以形成隔離部件、以及在形成隔離部件之後,移除虛設閘極結構。在一些實施例中,蝕刻虛設閘極結構和第一鰭結構的步驟包含採用第一佔空比(duty cycle)的主蝕刻步驟、以及採用第二佔空比的過蝕刻步驟,第二佔空比低於第一佔空比。在過蝕刻步驟之後,切割溝槽的底面低於基底的上表面。在一些實施例中,此方法還包含形成第二閘極堆疊橫跨浮置鰭元件且相鄰於隔離部件、以及形成第二閘極切割部件穿過隔離部件的一部分以及第二閘極堆疊的一部分。在一些實施例中,第一半導體層和第四半導體層由矽鍺形成,且第二半導體層和第三半導體層由矽形成。
以上概述數個實施例之部件,以便在本發明所屬技術領域中具有通常知識者可以更加理解本發明實施例的觀點。在本發明所屬技術領域中具有通常知識者應理解,他們能輕易地以本發明實施例為基礎,設計或修改其他製程和結構,以達到與在此介紹的實施例相同之目的及/或優勢。在本發明所屬技術領域中具有通常知識者也應理解,此類等效的結構並無悖離本發明的精神與範圍,且他們能在不違背本發明之精神和範圍下,做各式各樣的改變、取代和替換。因此,本發明之保護範圍當視後附之申請專利範圍所界定為準。
12:半導體結構
118:第一鰭結構
120:第二鰭結構
138:層間介電層
146:隔離部件
1601:最終閘極堆疊
1602:最終閘極堆疊
1603:最終閘極堆疊
1604:最終閘極堆疊
164:高介電常數閘極介電層
166:金屬閘極電極層
174:閘極切割部件
T1:全繞式閘極場效電晶體
T2:鰭式場效電晶體

Claims (14)

  1. 一種半導體結構,包括:一第一全繞式閘極場效電晶體(GAA FET),位於一基底之上,其中該第一全繞式閘極場效電晶體包括:複數個第一奈米結構、以及圍繞該等第一奈米結構的一第一閘極堆疊;一第一鰭式場效電晶體(FinFET),相鄰於該第一全繞式閘極場效電晶體,其中該第一鰭式場效電晶體包括:一第一鰭結構、以及位於該第一鰭結構之上的一第二閘極堆疊,其中該第一鰭結構包括:一第一浮置鰭元件,且該第一鰭式場效電晶體的該第二閘極堆疊包括:一閘極介電層,覆蓋該第一浮置鰭元件的上表面、側壁以及底面;以及一閘極切割部件,插入該第一全繞式閘極場效電晶體的該第一閘極堆疊與該第一鰭式場效電晶體的該第二閘極堆疊之間。
  2. 如請求項1之半導體結構,其中該第一鰭式場效電晶體包括:一下部鰭元件,位於該第一浮置鰭元件之下,其中該第一浮置鰭元件與該下部鰭元件被該第二閘極堆疊的該閘極介電層的一部分隔開。
  3. 如請求項1至2任一項之半導體結構,其中該等第一奈米結構中最高的奈米結構的上表面大致齊平於該第一鰭結構的上表面或該等第一奈米結構中最低的奈米結構的底面大致齊平於該第一浮置鰭元件的底面。
  4. 如請求項1至2任一項之半導體結構,更包括:一第二鰭式場效電晶體,相鄰於該第一鰭式場效電晶體,其中該第二鰭式場效電晶體包括:一第二鰭結構;以及一隔離部件,插入該第一鰭式場效電晶體的該第一鰭結構與該第二鰭式場效 電晶體的該第二鰭結構之間。
  5. 如請求項4之半導體結構,更包括:一半導體堆疊,沿著該隔離部件的一側壁的一下部;以及一間隔層,沿著該隔離部件的該側壁的一上部。
  6. 一種半導體結構,包括:一第一全繞式閘極場效電晶體,位於一基底之上,其中該第一全繞式閘極場效電晶體包括:複數個第一奈米結構、以及環繞該等第一奈米結構的一第一閘極堆疊;一第二全繞式閘極場效電晶體,位於該基底之上,其中該第二全繞式閘極場效電晶體包括:複數個第二奈米結構、以及環繞該等第二奈米結構的一第二閘極堆疊;一隔離部件,插入該第一全繞式閘極場效電晶體的該等第一奈米結構與該第二全繞式閘極場效電晶體的該等第二奈米結構之間,其中該隔離部件的底面低於該基底的上表面;一第一鰭式場效電晶體,位於該基底之上,其中該第一鰭式場效電晶體包括:一第一鰭結構、以及位於該第一鰭結構之上的一第三閘極堆疊;以及一第一閘極切割部件,插入該第一全繞式閘極場效電晶體的該第一閘極堆疊與該第一鰭式場效電晶體的該第三閘極堆疊之間。
  7. 如請求項6之半導體結構,其中該第一全繞式閘極場效電晶體的該第一閘極堆疊包括:一第一閘極介電層;以及一第一閘極電極層,位於該第一閘極介電層之上,其中該第一閘極介電層和 該第一閘極電極層直接接觸該第一閘極切割部件。
  8. 如請求項6之半導體結構,更包括:一第二鰭式場效電晶體,位於該基底之上,其中該第二鰭式場效電晶體包括:一第二鰭結構、以及位於該第二鰭結構之上的一第四閘極堆疊,其中該第四閘極堆疊包括:一第四閘極介電層,其中該第四閘極介電層直接接觸該隔離部件;以及一第四閘極電極層,位於該第四閘極介電層之上,其中該第四閘極電極層與該隔離部件被該第四閘極介電層隔開。
  9. 如請求項8之半導體結構,其中該隔離部件包括:一介電填充層;以及一介電襯層,圍繞該介電填充層且接觸該第四閘極介電層。
  10. 如請求項9之半導體結構,其中該介電填充層與該第四閘極介電層接觸。
  11. 一種半導體結構的形成方法,包括:依序形成一第一半導體層和一第二半導體層於一基底之上;蝕刻該第二半導體層,以形成一凹陷於該基底的一第一區中;從該凹陷交替地堆疊複數個第三半導體層與複數個第四半導體層於該第一半導體層之上;圖案化該等第三半導體層、該等第四半導體層以及該第一半導體層,以形成一第一鰭結構於該基底的該第一區中,且圖案化該第二半導體層和第一半導體層以形成一第二鰭結構於該基底的一第二區中;從該第一鰭結構移除該等第四半導體層和該第一半導體層,以從該第一鰭結 構的該等第三半導體層形成複數個奈米結構,且從該第二鰭結構移除該第一半導體層,以從該第二鰭結構的該第二半導體層形成一浮置鰭元件;形成一第一閘極堆疊橫跨該等奈米結構和該浮置鰭元件;以及在形成該第一閘極堆疊之後,形成一第一閘極切割部件穿過該第一閘極堆疊。
  12. 如請求項11之半導體結構的形成方法,更包括:在形成該等奈米結構和該浮置鰭元件之前,形成一隔離部件穿過該第一鰭結構。
  13. 如請求項12之半導體結構的形成方法,更包括:形成一虛設閘極結構橫跨該第一鰭結構和該第二鰭結構;形成一閘極間隔層沿著該虛設閘極結構的側壁;蝕刻該虛設閘極結構和該第一鰭結構,以形成一切割溝槽;以一介電材料填充該切割溝槽,以形成該隔離部件;以及在形成該隔離部件之後,移除該虛設閘極結構。
  14. 如請求項13之半導體結構的形成方法,其中蝕刻該虛設閘極結構和該第一鰭結構的步驟包括:採用一第一佔空比(duty cycle)的一主蝕刻步驟;以及採用一第二佔空比的一過蝕刻步驟,其中該第二佔空比低於該第一佔空比,且在該過蝕刻步驟之後,該切割溝槽的底面低於該基底的上表面。
TW109144681A 2019-12-17 2020-12-17 半導體結構及其形成方法 TWI777332B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201962949261P 2019-12-17 2019-12-17
US62/949,261 2019-12-17
US16/895,795 2020-06-08
US16/895,795 US11545490B2 (en) 2019-12-17 2020-06-08 Semiconductor structure and method for forming the same

Publications (2)

Publication Number Publication Date
TW202137571A TW202137571A (zh) 2021-10-01
TWI777332B true TWI777332B (zh) 2022-09-11

Family

ID=76085279

Family Applications (1)

Application Number Title Priority Date Filing Date
TW109144681A TWI777332B (zh) 2019-12-17 2020-12-17 半導體結構及其形成方法

Country Status (3)

Country Link
US (1) US20220359506A1 (zh)
DE (1) DE102020115785A1 (zh)
TW (1) TWI777332B (zh)

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170194480A1 (en) * 2015-11-30 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20190252266A1 (en) * 2017-06-20 2019-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation Manufacturing Method for Semiconductor Structures
US20190355724A1 (en) * 2018-05-16 2019-11-21 Taiwan Semiconductor Manufacturing Company Ltd. Hybrid semiconductor transistor structure and manufacturing method for the same

Family Cites Families (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10032627B2 (en) * 2015-11-16 2018-07-24 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming stacked nanowire transistors
US11569370B2 (en) * 2019-06-27 2023-01-31 Intel Corporation DEPOP using cyclic selective spacer etch
US10950610B2 (en) * 2019-07-18 2021-03-16 Globalfoundries U.S. Inc. Asymmetric gate cut isolation for SRAM

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20170194480A1 (en) * 2015-11-30 2017-07-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US20190252266A1 (en) * 2017-06-20 2019-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation Manufacturing Method for Semiconductor Structures
US20190355724A1 (en) * 2018-05-16 2019-11-21 Taiwan Semiconductor Manufacturing Company Ltd. Hybrid semiconductor transistor structure and manufacturing method for the same

Also Published As

Publication number Publication date
TW202137571A (zh) 2021-10-01
DE102020115785A1 (de) 2021-06-17
US20220359506A1 (en) 2022-11-10

Similar Documents

Publication Publication Date Title
TWI710062B (zh) 切割金屬閘極之方法
TWI572035B (zh) 半導體裝置及其製造方法
CN103177951B (zh) 用于半导体器件的栅极结构
CN109326562B (zh) 金属栅极结构、半导体器件及其制造方法
CN109427899B (zh) 半导体器件及其制造方法
US20210183855A1 (en) Semiconductor structure and method for forming the same
TWI567981B (zh) 鰭部件的結構及其製造方法
TWI675450B (zh) 半導體元件與其製作方法
TWI804632B (zh) 半導體元件及其製作方法
US20220375931A1 (en) Semiconductor device and manufacturing method thereof
TWI793675B (zh) 半導體裝置及其形成方法
US20220336449A1 (en) Semiconductor device structure and methods of forming the same
KR20160001588A (ko) Finfet 디바이스를 위한 구조물 및 방법
TWI806113B (zh) 製造半導體裝置的方法和半導體裝置
TWI687980B (zh) 半導體元件及其製作方法
US11404321B2 (en) Semiconductor structure and method of manufacturing the same
TWI762166B (zh) 半導體裝置和其製造方法
TWI777332B (zh) 半導體結構及其形成方法
TW202205393A (zh) 半導體裝置的製造方法
US11942478B2 (en) Semiconductor device structure and methods of forming the same
US11854899B2 (en) Semiconductor devices and methods of manufacturing thereof
US20230262950A1 (en) Multi-gate device and related methods
TW202221928A (zh) 半導體元件
TW202230681A (zh) 半導體結構及其形成方法
TW202329212A (zh) 半導體裝置及其製造方法

Legal Events

Date Code Title Description
GD4A Issue of patent certificate for granted invention patent