TWI711113B - 製造及形成半導體裝置的方法 - Google Patents

製造及形成半導體裝置的方法 Download PDF

Info

Publication number
TWI711113B
TWI711113B TW105129719A TW105129719A TWI711113B TW I711113 B TWI711113 B TW I711113B TW 105129719 A TW105129719 A TW 105129719A TW 105129719 A TW105129719 A TW 105129719A TW I711113 B TWI711113 B TW I711113B
Authority
TW
Taiwan
Prior art keywords
pattern
layer
mandrel
mask pattern
shaped
Prior art date
Application number
TW105129719A
Other languages
English (en)
Other versions
TW201715639A (zh
Inventor
金鍾壽
Original Assignee
南韓商三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南韓商三星電子股份有限公司 filed Critical 南韓商三星電子股份有限公司
Publication of TW201715639A publication Critical patent/TW201715639A/zh
Application granted granted Critical
Publication of TWI711113B publication Critical patent/TWI711113B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3083Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/3086Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F7/00Photomechanical, e.g. photolithographic, production of textured or patterned surfaces, e.g. printing surfaces; Materials therefor, e.g. comprising photoresists; Apparatus specially adapted therefor
    • G03F7/70Microphotolithographic exposure; Apparatus therefor
    • G03F7/70483Information management; Active and passive control; Testing; Wafer monitoring, e.g. pattern monitoring
    • G03F7/70605Workpiece metrology
    • G03F7/70616Monitoring the printed patterns
    • G03F7/70633Overlay, i.e. relative alignment between patterns printed by separate exposures in different layers, or in the same layer in multiple exposures or stitching
    • GPHYSICS
    • G03PHOTOGRAPHY; CINEMATOGRAPHY; ANALOGOUS TECHNIQUES USING WAVES OTHER THAN OPTICAL WAVES; ELECTROGRAPHY; HOLOGRAPHY
    • G03FPHOTOMECHANICAL PRODUCTION OF TEXTURED OR PATTERNED SURFACES, e.g. FOR PRINTING, FOR PROCESSING OF SEMICONDUCTOR DEVICES; MATERIALS THEREFOR; ORIGINALS THEREFOR; APPARATUS SPECIALLY ADAPTED THEREFOR
    • G03F9/00Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically
    • G03F9/70Registration or positioning of originals, masks, frames, photographic sheets or textured or patterned surfaces, e.g. automatically for microlithography
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/31051Planarisation of the insulating layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32139Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/68Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for positioning, orientation or alignment
    • H01L21/682Mask-wafer alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/544Marks applied to semiconductor devices or parts, e.g. registration marks, alignment structures, wafer maps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/5442Marks applied to semiconductor devices or parts comprising non digital, non alphanumeric information, e.g. symbols
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54426Marks applied to semiconductor devices or parts for alignment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2223/00Details relating to semiconductor or other solid state devices covered by the group H01L23/00
    • H01L2223/544Marks applied to semiconductor devices or parts
    • H01L2223/54453Marks applied to semiconductor devices or parts for use prior to dicing

Landscapes

  • Engineering & Computer Science (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Ceramic Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Thin Film Transistor (AREA)
  • Exposure And Positioning Against Photoresist Photosensitive Materials (AREA)
  • Semiconductor Memories (AREA)

Abstract

本發明提供如下一種製造半導體裝置的方法。形成目標層。在所述目標層上形成硬罩幕層。圖案化所述硬罩幕層以形成包含第一罩幕圖案及平台狀罩幕圖案的疊對罩幕圖案。所述第一罩幕圖案封圍所述平台狀罩幕圖案。所述第一罩幕圖案與所述平台狀罩幕圖案間隔開。使用所述疊對罩幕圖案圖案化所述目標層,以形成冗餘鰭形件及平台狀疊對標記。移除所述冗餘鰭形件。

Description

製造及形成半導體裝置的方法
本發明概念是關於半導體裝置及其製造方法。
半導體裝置是由多層結構形成。在製造半導體裝置時,每一分層結構將與其前一分層結構對準。使用光罩形成每一分層結構。將光罩之圖案轉移至目標層以在目標層中形成分層結構(layered structure)。使用定位於前一分層結構內之疊對標記將分層結構對準至其前一分層結構。
若光罩歸因於疊對標記之不良影像品質而未恰當地對準,則分層結構不能與其前一分層結構正確地對準。此可導致裝置失效或低裝置效能。隨著半導體大小繼續縮減,對疊對標記之高影像品質的要求變得愈加嚴格。
根據本發明概念的例示性實施例,提供如下一種製造半導體裝置的方法。形成目標層。在所述目標層上形成硬罩幕層。圖案化所述硬罩幕層以形成包含第一罩幕圖案及平台狀罩幕圖案的疊對罩幕圖案。所述第一罩幕圖案封圍所述平台狀罩幕圖案。所述第一罩幕圖案與所述平台狀罩幕圖案間隔開。使用所述疊對罩幕圖案圖案化所述目標層,以形成冗餘鰭形件及平台狀疊對標記。移除所述冗餘鰭形件。
根據本發明概念的例示性實施例,提供如下一種形成半導體裝置的方法。形成將被圖案化為平台狀疊對標記及多個主動鰭形件的目標層。在所述目標層上形成硬罩幕層。在所述硬罩幕層上形成矽層。圖案化所述矽層以形成多個線狀矽圖案及第一環狀矽圖案以及第二環狀矽圖案。所述線狀矽圖案彼此間隔開第一距離,且所述第一環狀矽圖案與所述第二環狀矽圖案間隔開小於所述第一距離的第二距離。在所述線狀矽圖案、所述第一環狀矽圖案以及所述第二環狀矽圖案上形成氧化物層,使得所述氧化物層完全填充所述第一環狀矽圖案與所述第二環狀矽圖案之間的間隙。對所述氧化物層執行各向異性蝕刻製程以形成多個線狀氧化物圖案及第一環狀氧化物圖案、第二環狀氧化物圖案以及第三環狀氧化物圖案。所述線狀氧化物圖案形成於所述線狀矽圖案的側壁上。所述第一環狀氧化物圖案形成於所述第一環狀矽圖案的外側壁上。所述第二環狀氧化物圖案形成於所述第一環狀矽圖案的內側壁與所述第二環狀矽圖案的外側壁之間,且完全填充所述第一環狀矽圖案與所述第二環狀矽圖案之間的所述間隙。所述第三環狀氧化物圖案形成於所述第二環狀矽圖案的內側壁上。移除所述線狀矽圖案、所述第一環狀矽圖案以及所述第二環狀矽圖案。形成有機平坦化層(organic planarizing layer,OPL),使得所述有機平坦化層的側壁定位於所述第二環狀氧化物圖案的上部表面上。所述有機平坦化層具有第一厚度。分別使用所述線狀氧化物圖案以及所述有機平坦化層與所述第二環狀氧化物圖案的組合結構圖案化所述硬罩幕層,以形成多個線狀罩幕圖案且形成平台狀罩幕圖案。使用所述線狀罩幕圖案及所述平台狀罩幕圖案圖案化所述目標層,使得在所述線狀罩幕圖案下方形成所述主動鰭形件,且在所述有機平坦化層與所述第二環狀氧化物圖案的所述組合結構下方形成所述平台狀疊對標記。
根據本發明概念的例示性實施例,提供如下一種形成半導體裝置的方法。在基板上形成目標層,所述目標層具有裝置區及疊對標記區。蝕刻所述裝置區及所述疊對標記區以分別形成多個主動鰭形件且形成平台狀疊對標記。在所述主動鰭形件上形成金屬層。使用所述平台狀疊對標記將光罩對準至所述主動鰭形件。使用所述光罩圖案化所述金屬層以形成多個閘電極。
下文將參考隨附圖式詳細描述本發明概念的例示性實施例。然而,本發明概念可以不同形式體現,且不應解釋為受限於本文所闡述的實施例。在圖式中,出於明晰目的,可誇示層及區域的厚度。亦將瞭解,當稱元件「在」另一元件或基板「上」時,其可直接在另一元件或基板上,或亦可存在介入層。亦將瞭解,當稱元件「耦接至」或「連接至」另一元件時,其可直接耦接至或連接至所述另一元件,或亦可存在介入元件。
圖1展示根據本發明概念的例示性實施例的半導體裝置的裝置區及疊對標記區的透視圖。半導體裝置1000包含具有裝置區1000A及疊對標記區1000B的基板100。
裝置區1000A包含主動元件,諸如電晶體,其主動區域形成於鰭型結構200中。在例示性實施例中,電晶體由鰭型場效電晶體(FinFET)形成。鰭型結構200沿第一方向(x軸)經配置且彼此間隔開。鰭型結構200沿著第二方向(y軸)平行地延伸。每一鰭型結構200自基板100朝向第三方向(z軸)突起。在例示性實施例中,鰭型結構200可自基板100磊晶生長。在例示性實施例中,鰭型結構200可藉由蝕刻基板100而形成。
疊對標記區1000B包含疊對標記300,其用以提供用於將後續圖案對準至包含例如鰭型結構200的目標圖案的參考。疊對標記300可具有高精確度影像特徵,且經定位以使得疊對標記300不影響後續晶圓處理製程或裝置效能。在例示性實施例中,目標圖案可為鰭型結構200,且後續圖案可為閘電極。
沿著第三方向延伸的疊對標記300包含平坦上部表面300A及邊緣界線300B。平坦表面300A填充由邊緣界線300B界定的區。平坦上部表面300A連續地延伸直至界定疊對標記300的形狀的邊緣界線300B。邊緣界線300B為連續且閉合的。在例示性實施例中,光微影設備可使用例如平坦上部表面300A與外部區400之間的對比度差偵測邊緣界線300B以定位及識別疊對標記。
當沿著第三方向觀察時,疊對標記300具有十字準線形狀。本發明概念不限於此,且疊對標記300可具有多種形狀。在下文中,疊對標記300可被稱為平台狀疊對標記。
在例示性實施例中,可在邊緣界線300B內圖案化兩個或兩個以上鰭型結構。在此情況下,邊緣界線300B無需為連續性的且無需具有平坦上部表面300A。舉例而言,邊緣界線300B可斷開,且疊對標記的上部表面無需為連續性的。與平台狀疊對標記相比,在邊緣界線300B內具有鰭型結構的疊對標記(其可被稱為疊對鰭式標記)可提供較小對比度差。
參考圖2至圖5,將描述疊對標記300在製造FinFET時的使用。圖2為根據本發明概念的例示性實施例的製造裝置區及疊對標記區的流程圖。圖3至圖5展示根據本發明概念的例示性實施例的裝置區1000A及疊對標記區1000B的透視圖。根據例示性實施例,平台狀疊對標記用以對準光罩,使得FinFET的閘電極形成於鰭型結構上。鰭型結構可提供FinFET的通道區。可使用用於形成鰭型結構的製程步驟形成平台狀疊對標記。
圖3展示在執行圖2的步驟1000之後形成的鰭型結構200及平台狀疊對標記300。
在步驟1000中,製造目標層以具有平台狀疊對標記300及鰭型結構200。目標層可包含基板、磊晶生長矽層,或磊晶生長SiGe合金層。基板可由矽形成。為便於描述,假定目標層為基板100。可使用光罩(此處未示出)自基板同時形成平台狀疊對標記300及鰭型結構200。
鰭型結構200形成於基板100的裝置區1000A上,且平台狀疊對標記300形成於基板100的疊對標記區1000B上。平台狀疊對標記300沿著第三方向(z軸)自疊對標記區1000B中的外部區400突起。平台狀疊對標記300包含平坦上部表面300A及邊緣界線300B。平坦上部表面300A為平台狀,且填充由邊緣界線300B界定的區。在此情況下,外部區400為基板100的上部表面。
圖4展示在執行圖2的步驟2000之後形成的閘電極層500。在步驟2000中,在圖3的所得結構上形成閘電極層500。舉例而言,閘電極層500形成於裝置區1000A中的鰭型結構200及疊對標記區1000B中的平台狀疊對標記300上。
在裝置區1000A中的閘電極層500上形成蝕刻罩幕圖案600。在步驟3000中,可執行光微影製程以在閘電極層上形成蝕刻罩幕圖案600。在光微影製程中,在閘電極層500上形成光阻層(此處未示出),且使用平台狀疊對標記300將光罩對準至鰭型結構200,使得轉移光罩的圖案以形成蝕刻罩幕圖案600。本發明概念不限於此。舉例而言,蝕刻罩幕圖案600可由包含氮化矽、氧化矽或非晶矽的硬罩幕材料形成。
與由多個疊對鰭型結構形成的疊對鰭式標記相比,平台狀疊對標記300可提供用於後續製程的可靠疊對標記。在例示性實施例中,與具有多個疊對鰭型結構的疊對鰭式標記相比,平台狀疊對標記300提供具有增加的對比度差的邊緣界線300B。疊對鰭型結構在輪廓或形狀上可類似於形成於裝置區1000A中的鰭型結構。
圖5展示在執行圖2的步驟4000之後形成的閘電極700。在步驟4000中,使用蝕刻罩幕圖案600作為蝕刻罩幕將閘電極層500圖案化為閘電極700。使用其中可移除透過蝕刻罩幕圖案600暴露的閘電極層500以形成閘電極700的蝕刻製程形成閘電極700。
在例示性實施例中,閘電極700以與第一方向(x軸)平行的方式延伸,且鰭型結構200以與第一方向交叉的第二方向(y軸)平行的方式延伸。鰭型結構200與閘電極700的重疊區可充當FinFET的通道。
若在圖案化用於形成閘電極700的蝕刻罩幕圖案600的光微影製程中,平台狀疊對標記300具有不良對比度,則閘電極700可未對準,以致於包含閘電極700及鰭型結構200的FinFET可無法操作或具有低效能。如上文所描述,平台狀疊對標記300在邊緣界線內提供平坦表面,且因此在形成FinFET時具有可靠對比度。
可在閘電極700與鰭型結構200之間插入閘極氧化物層(此處未示出)。閘極氧化物層可由氧化矽或介電常數大於氧化矽的介電常數的高k(介電常數)介電材料形成。閘電極700是由經摻雜矽、金屬或其組合形成。在例示性實施例中,金屬閘電極與高k介電閘極氧化物的組合可用於FinFET。
在下文中,將參考流程圖及根據所述流程圖形成的結構的橫截面圖描述平台狀疊對標記300的形成。
參考圖6、圖7、圖8A至圖10A以及圖8B至圖10B,將描述疊對標記的形成。圖6展示根據本發明概念的例示性實施例的在製造FinFET的鰭型結構的製程中形成平台狀疊對標記的流程圖。圖7展示根據本發明概念的例示性實施例的裝置區及疊對標記區的橫截面圖。圖8A至圖10A展示根據本發明概念的例示性實施例的裝置區及疊對標記區的平面圖。圖8B至圖10B展示根據本發明概念的例示性實施例的裝置區及疊對標記區的沿著圖8A至圖10A的線X-X'所取的橫截面圖。
圖7展示在執行圖6的步驟1100之後形成的硬罩幕層801。在例示性實施例中,硬罩幕層801可由氮化矽形成。本發明概念不限於此。
硬罩幕層801形成於基板100上。在例示性實施例中,基板100可為磊晶生長矽層或磊晶生長SiGe合金層。為便於描述,假定目標層為磊晶生長矽層。
基板100包含裝置區1000A及疊對標記區1000B。裝置區1000A為將形成FinFET的鰭型結構的區;疊對標記區1000B為將形成平台狀疊對標記的區。
圖8A及圖8B展示根據本發明概念的例示性實施例的在執行圖6的步驟1200之後形成的硬罩幕圖案801B及疊對罩幕圖案801A。圖8A為硬罩幕圖案801B及疊對罩幕圖案801A的平面圖,且圖8B為沿著圖8A的線X-X'所取的橫截面圖。為便於描述,在圖8A及圖8B中展示一個疊對罩幕圖案801A。在例示性實施例中,可形成至少兩個疊對罩幕圖案,以形成彼此間隔開的至少兩個疊對標記。
使用蝕刻製程將硬罩幕層801圖案化為裝置區1000A中的硬罩幕圖案801B及疊對標記區1000B中的疊對標記罩幕圖案801A。
使用硬罩幕圖案801B圖案化基板100以形成例如圖3的鰭型結構200。硬罩幕圖案801B以與第二方向(y軸)平行的方式延伸。
使用疊對標記罩幕圖案801A圖案化例如圖3的平台狀疊對標記300。疊對標記罩幕圖案801A包含第一疊對罩幕圖案801A-1及第二疊對罩幕圖案801A-2。第一疊對罩幕圖案801A-1為環型形狀。舉例而言,第一疊對罩幕圖案801A-1為關於中心對稱的,且為連續性且閉合環路。在第一疊對罩幕圖案801A-1的中心處,形成具有十字準線形狀的第二疊對罩幕圖案801A-2。在例示性實施例中,第一疊對罩幕圖案801A-1與第二疊對罩幕圖案801A-2是同心的。將參考圖11描述疊對標記罩幕圖案801A的形成。
圖9A及圖9B展示在執行圖6的步驟1300之後分別形成於裝置區1000A及疊對標記區1000b中的鰭型結構200及平台狀疊對標記300。藉由使用圖8A及圖8B的硬罩幕圖案801B以及圖8A及圖8B的疊對罩幕圖案801A作為蝕刻罩幕圖案的蝕刻製程圖案化基板100,以形成鰭型結構200及平台狀疊對標記300。在例示性實施例中,可使用同一蝕刻製程形成鰭型結構200及平台狀疊對標記300。在此情況下,可實質上同時形成鰭型結構200及平台狀疊對標記300。在形成平台狀疊對標記300時,在疊對標記區1000B中形成冗餘鰭型結構805。冗餘鰭型結構805可減小基板100的疊對標記300與外部區400之間的對比度差。冗餘鰭型結構805若保持於疊對標記區1000B中,則可阻止在光微影製程中偵測到平台狀疊對標記300的邊緣界線300B。因此,可在後續製程中移除冗餘鰭型結構805。
圖10A及圖10B展示在圖6的步驟1400之後移除冗餘鰭型結構805。自疊對標記區1000B移除圖9A及圖9B的冗餘鰭型結構805。在例示性實施例中,疊對標記區1000B可包含平台狀疊對標記300及鄰近於平台狀疊對標記300的外部區400,且光微影設備比較外部區400與平台狀疊對標記300之間的對比度以偵測平台狀疊對標記300的邊緣界線300B。在例示性實施例中,鄰近於平台狀疊對標記300的外部區400不具有冗餘鰭型結構805。在裝置區1000A中,形成鰭型結構200。
在下文中,將參考圖11、圖12、圖13A及圖13B、圖14A至圖14C、圖15A及圖15B、圖16A及圖16B以及圖17A及圖17B描述硬罩幕層801的圖案化(圖6的步驟1200)。圖11展示根據本發明概念的例示性實施例的圖6的步驟1200的圖案化硬罩幕層以形成罩幕圖案及平台狀罩幕圖案的流程圖。圖12展示根據本發明概念的例示性實施例的裝置區及疊對標記區的橫截面圖。圖13A至圖17A展示根據本發明概念的例示性實施例的裝置區及疊對標記區的平面圖。圖13B至圖17B展示根據本發明概念的例示性實施例的裝置區及疊對標記區的沿著圖13A至圖17A的線X-X'所取的橫截面圖。圖14C展示根據本發明概念的例示性實施例的保形地形成於圖13A及圖13B的所得結構上的初步下部心軸層。
圖12展示根據本發明概念的例示性實施例的裝置區1000A及疊對標記區1000B的平面圖。圖12、圖13A至圖17A展示根據本發明概念的例示性實施例的裝置區1000A及疊對標記區1000B的平面圖。圖13B至圖17B展示根據本發明概念的例示性實施例的裝置區1000A及疊對標記區1000B的沿著圖13A至圖17A的線X-X'所取的橫截面圖。
圖12展示根據本發明概念的例示性實施例的在執行圖11的步驟1210之後形成的下部心軸層802。下部心軸層802形成於圖7的所得結構上。在步驟1210中,在硬罩幕層801上形成下部心軸層802。下部心軸層802可為具有關於硬罩幕層801的蝕刻選擇性的材料。舉例而言,硬罩幕層801可由氮化矽形成,且下部心軸層802由矽形成。
圖13A及圖13B展示根據本發明概念的例示性實施例的在執行圖12的步驟1220之後形成的下部心軸802A及下部心軸802B。在步驟1220中,使用蝕刻製程將下部心軸層802圖案化為下部心軸802A及下部心軸802B。在裝置區1000A中,下部心軸802B沿著第二方向(y軸)平行地延伸,且下部心軸802B彼此間隔開第一距離D11。在疊對標記區1000B中,下部心軸802A包含第一下部心軸802A-1及第二下部心軸802A-2。第一下部心軸802A-1與第二下部心軸802A-2彼此間隔開小於第一距離D11的第二距離D21。第一下部心軸802A-1與第二下部心軸802A-2是閉合圖案且同心。第二下部心軸802A-2定位於第一下部心軸802A-1內。
在例示性實施例中,第一距離D11及第二距離D21是預定的,使得下部罩幕層803無需增改(touch in)具有第一距離D11的第一間隙G1,且填充具有第二距離D21的第二間隙G2,如在圖14C中所展示。將參考圖14C描述下部罩幕層803。
圖14A及圖14B展示根據本發明概念的例示性實施例的在執行圖11的步驟1230之後形成的下部罩幕圖案803A及下部罩幕圖案803B。在步驟1230中,下部罩幕圖案803A及下部罩幕圖案803B形成於下部心軸802A及下部心軸802B上。在裝置區1000A中的下部心軸802B的側壁上形成第一厚度T11的下部罩幕圖案803B。疊對標記區1000B的下部罩幕圖案803A具有兩個厚度T21及厚度T22。舉例而言,下部罩幕圖案803A具有第二厚度T21及第三厚度T22。形成於第一下部心軸802A-1與第二下部心軸802A-2之間的下部罩幕圖案803A具有第二厚度T21。形成於第一下部心軸802A-1的外側壁上及第二下部心軸802A-2的內側壁上的下部罩幕圖案803A具有第三厚度T22。在例示性實施例中,第三厚度T22與第一厚度T11實質上相同,且第三厚度T22小於第二厚度T21。在例示性實施例中,下部罩幕圖案803B可具有不足以填充裝置區1000A中的兩個鄰近下部心軸802B之間的第一間隙G1的厚度T11。在例示性實施例中,下部罩幕圖案803A可具有不足以填充形成於第二下部心軸802A-2內的第三間隙G3的厚度T22。舉例而言,第三間隙G3是由第二下部心軸802A-2的內側壁界定。在例示性實施例中,下部罩幕圖案803A經合併以具有第二間隙G2中的第二厚度T21。具有第二間隙G2、第一下部心軸802A-1及第二下部心軸802A-2的區可被稱為疊對標記區1000B的界線界定區BDR。在例示性實施例中,第二厚度T21可為第三厚度T22的約兩倍,或可小於第三厚度的約兩倍且大於第三厚度T22。
圖14C展示根據本發明概念的例示性實施例的保形地形成於圖13A及圖13B的所得結構上的初步下部罩幕層803。初步下部罩幕層的厚度T11及T22使得初步下部罩幕層803完全填充界線界定區BDR中的兩個下部心軸802A-1與下部心軸802A-2之間的第二間隙G2;且初步下部罩幕層803保形地形成於圖14B的所得結構上且不完全填充裝置區1000A中的兩個鄰近下部心軸之間的第一間隙G1。
在例示性實施例中,包含例如反應性離子蝕刻(reactive ion etching,RIE)製程的各向異性蝕刻製程可應用於圖14C的所得結構,以形成圖14A及圖14B的下部罩幕圖案803A及下部罩幕圖案803B。在RIE製程中,移除初步下部罩幕層803的上部部分及下部部分,以形成保持在下部心軸802A及下部心軸802B的側壁上的下部罩幕圖案803A及下部罩幕圖案803B。
圖15A及圖15B展示根據本發明概念的例示性實施例的在執行圖11的步驟1240之後移除下部心軸802A及下部心軸802B。在步驟1240中,可使用蝕刻製程移除下部心軸802A及下部心軸802B,在硬罩幕層801上留下下部罩幕圖案803A及下部罩幕圖案803B。下部罩幕圖案803A包含第一下部罩幕圖案803A-1、第二下部罩幕圖案803A-2以及第三下部罩幕圖案803A-3。下部罩幕圖案803A-1至下部罩幕圖案803A-3是環狀的且同心。
在例示性實施例中,具有第二厚度T21的下部罩幕圖案803A-2定位於界線界定區BDR中。界線界定區BDR中的下部罩幕圖案803A-2可具有厚度T21,其為第三厚度T22或第一厚度T11的約兩倍。在圖15A中,界線界定區BDR封圍安置於疊對標記區1000B中的第二下部罩幕圖案803A-2內的第三下部罩幕圖案803A-3。第一下部罩幕圖案803A-1封圍界線界定區BDR。
圖16A及圖16B展示根據本發明概念的例示性實施例的在執行圖11的步驟1250之後形成的有機平坦化層(OPL)804。在步驟1250中,形成有機平坦化層804以覆蓋由疊對標記區1000B中的第二下部罩幕圖案803A-2界定的內部。有機平坦化層804的邊緣界線定位於第二下部罩幕圖案803A-2的上部表面內,所述第二下部罩幕圖案803A-2具有大於其他下部罩幕圖案803A-1及下部罩幕圖案803A-3的厚度的第二厚度T21。在例示性實施例中,有機平坦化層804部分覆蓋第二下部罩幕圖案803A-2的上部表面由,且有機平坦化層804完全覆蓋第三下部罩幕圖案803A-2。有機平坦化層804不覆蓋第一下部罩幕圖案803A-1。
在形成有機平坦化層804之後,有機平坦化層以及下部罩幕圖案803A-2及下部罩幕圖案803A-1覆蓋疊對標記區1000B的硬罩幕層801。使用有機平坦化層以及下部罩幕圖案803A-2及下部罩幕圖案803A-1作為蝕刻罩幕圖案圖案化硬罩幕層801。在例示性實施例中,可使用矽抗反射塗層(silicon anti-reflective coating,ARC)或非晶碳層來代替有機平坦化層804。
圖17A及圖17B展示根據本發明概念的例示性實施例的在執行圖11的步驟1260之後形成的圖8A及圖8B的硬罩幕圖案801A及硬罩幕圖案801B。在步驟1260中,在形成硬罩幕圖案801A及硬罩幕圖案801B時,部分移除下部罩幕圖案803A及下部罩幕圖案803B,且因此下部罩幕圖案803A及下部罩幕圖案803B的厚度需為足夠的,以使得在形成硬罩幕圖案801A及硬罩幕圖案801B時不完全移除下部罩幕圖案803A及下部罩幕圖案803B。
在例示性實施例中,使用有機平坦化層804與第二下部罩幕圖案803A-2的組合罩幕結構作為圖案化硬罩幕層801時的蝕刻罩幕而形成用於圖1的平台狀疊對標記300的硬罩幕圖案801A。
在下文中,將參考圖18至圖22描述根據本發明概念的例示性實施例的基板100的圖案化(圖6的步驟1300)。圖18展示圖6的步驟1300的圖案化基板100的流程圖。使用圖17A及圖17B的硬罩幕圖案801A及硬罩幕圖案801B執行基板100的圖案化。圖19至圖22展示根據本發明概念的例示性實施例的裝置區1000A及疊對標記區1000B的橫截面圖。
圖19展示根據本發明概念的例示性實施例的在執行圖18的步驟1310之後形成的有機平坦化層804的部分移除。在步驟1310中,減小有機平坦化層804的厚度,以致於在後續步驟1320中實質上移除有機平坦化層。在例示性實施例中,可省略步驟1310。
圖20展示在執行圖18的步驟1320之後形成的圖1的鰭型結構200及平台狀疊對標記300。在步驟1320中,可應用包含例如RIE製程的各向異性蝕刻製程,以將基板100的上部區圖案化成鰭型結構200及平台狀疊對標記300。亦在蝕刻製程中形成冗餘鰭型結構805。在蝕刻製程中,可部分蝕刻下部罩幕圖案803A及下部罩幕圖案803B以及有機平坦化層804。在執行步驟1320之後,下部罩幕圖案803A及下部罩幕圖案803B可保持在硬罩幕圖案801A及硬罩幕圖案801B上,且有機平坦化層804被完全移除。
圖21展示在執行步驟1330之後所得的結構。在步驟1330中,可將OPL灰化製程及清洗製程應用於圖20的所得結構。可應用OPL灰化製程以移除有機平坦化層804的任何殘留物。清洗製程可包含氫氟酸(hydrofluoric acid;HF)清洗製程。在此情況下,可部分移除下部罩幕圖案803A及下部罩幕圖案803B以具有減小的厚度。在例示性實施例中,可完全移除裝置區1000A的下部罩幕圖案803B;疊對標記區1000B的下部罩幕圖案803A可保持具有減小的厚度。
圖22展示根據本發明概念的例示性實施例的在執行圖6的步驟1400之後所得的結構。在步驟1400中,移除疊對標記區1000B的冗餘鰭型結構805。在例示性實施例中,可應用化學機械拋光(CMP)製程以移除圖21的下部罩幕圖案803A。
在下文中,將參考圖23、圖24、圖25A至圖28A、圖25B至圖28B以及圖26C描述根據本發明概念的例示性實施例的下部心軸層的圖案化(圖11的步驟1220)。
圖23展示根據本發明概念的例示性實施例的圖11的步驟1220的圖案化下部心軸層802的流程圖。圖24展示根據本發明概念的例示性實施例的裝置區1000A及疊對標記區1000B的橫截面圖。圖25A至圖28A展示根據本發明概念的例示性實施例的裝置區1000A及疊對標記區1000B的平面圖。圖25B至圖28B展示根據本發明概念的例示性實施例的裝置區1000A及疊對標記區1000B的沿著圖25A至圖28A的線X-X'所取的橫截面圖。圖26C展示根據本發明概念的例示性實施例的保形地形成於圖26A及圖26B的所得結構上的初步上部罩幕層。
圖24展示根據本發明概念的例示性實施例的在執行步驟1222之後形成於下部心軸層802上的上部心軸層806。下部心軸層802形成於硬罩幕層801上。上部心軸層806形成於圖12的所得結構上。在例示性實施例中,可使用下部心軸層802及上部心軸層806充當用於圖案化基板100的蝕刻罩幕來圖案化硬罩幕層801。在例示性實施例中,上部心軸層806可由非晶碳形成。
圖25A及圖25B展示根據本發明概念的例示性實施例的在執行步驟1224之後形成的上部心軸806A及上部心軸806B。上部心軸806A及上部心軸806B形成於下部心軸層802上。在裝置區1000A中,上部心軸806B沿著第二方向(y軸)平行地延伸。在疊對標記區1000B中,上部心軸806A包含第一上部心軸806A-1及第二上部心軸806A-2。第一上部心軸806A-1為環狀,且第二上部心軸806A-2為十字準線狀。第一上部心軸806A-1包圍第二上部心軸806A-2。在例示性實施例中,第二上部心軸806A-2定位於第一上部心軸806A-1的中心處。
圖26A及圖26B展示根據本發明概念的例示性實施例的在執行步驟1226之後形成的上部罩幕圖案807A及上部罩幕圖案807B。上部罩幕圖案807A及上部罩幕圖案807B形成於上部心軸806A及上部心軸806B的側壁上。下部心軸層802透過上部罩幕圖案807A及上部罩幕圖案807B暴露。
圖26C展示保形地形成於圖26A及圖26B的所得結構上的初步上部罩幕層807。初步上部罩幕層807的厚度為使得初步上部罩幕層807不完全填充裝置區1000A中的兩個上部心軸806B之間的間隙。初步上部罩幕層807的厚度為使得初步上部罩幕層807不完全填充疊對標記區1000B中的兩個上部心軸806A-1與上部心軸806A-2之間的間隙。
在例示性實施例中,可將包含反應性離子蝕刻(RIE)製程的各向異性蝕刻製程應用於圖26C的所得結構,使得移除初步上部罩幕層807的上部部分及下部部分,以形成保持於上部心軸806A及上部心軸806B的側壁上的上部罩幕圖案807A及上部罩幕圖案807B。
圖27A及圖27B展示根據本發明概念的例示性實施例的在執行步驟1227之後上部心軸806A及上部心軸806B的移除。在蝕刻製程中,移除上部心軸806A及上部心軸806B,留下上部罩幕圖案807A及上部罩幕圖案807B。
圖28A及圖28B展示根據本發明概念的例示性實施例的在執行步驟1228之後形成的下部心軸802A及下部心軸802B。在使用包含RIE製程的各向異性蝕刻製程的蝕刻製程中,將下部心軸層802圖案化成下部心軸802A及下部心軸802B。在裝置區1000A中,下部心軸802B彼此間隔開一均勻距離;在疊對標記區1000B中,下部心軸802A彼此間隔開不同的(例如)距離D21及距離D22。
在例示性實施例中,下部心軸802A包含第一下部心軸802A-1、第二下部心軸802A-2以及第三下部心軸802A-3。為便於描述,圖13A及圖13B省略第三下部心軸802A-3。第一下部心軸802A-1與第二下部心軸802A-2彼此間隔開第二距離D21;第一下部心軸802A-1與第三下部心軸802A-3彼此間隔開第三距離D22。
在例示性實施例中,可移除上部罩幕圖案807A及上部罩幕圖案807B,以形成圖13A及圖13B的所得結構。為便於描述,在圖13A及圖13B中省略疊對標記區1000B的最外部或第三下部心軸802A-3。舉例而言,圖13A及圖13B展示兩個內下部心軸802A-1及內下部心軸802A-2。疊對標記區1000B的下部心軸802A-1至下部心軸802A-3是環狀且同心的。
在下文中,將參考圖29、圖30、圖31A至圖34A以及圖31B及圖34B描述根據本發明概念的例示性實施例的上部心軸層806的圖案化(圖23的步驟1224)。
圖29展示根據本發明概念的例示性實施例的圖23的步驟1224的圖案化上部心軸層806的流程圖。圖30展示根據本發明概念的例示性實施例的裝置區及疊對標記區的橫截面圖。圖31A至圖34A展示根據本發明概念的例示性實施例的裝置區及疊對標記區的平面圖。圖31B至圖34B展示根據本發明概念的例示性實施例的裝置區及疊對標記區的沿著圖31A至圖34A的線X-X'所取的橫截面圖。
圖30展示根據本發明概念的例示性實施例的在執行圖29的步驟1224-A至1224-C之後以所列出的順序形成於上部心軸層806上的氧化物層901、上部有機平坦化層902以及SiN層903。
圖31A及圖31B展示根據本發明概念的例示性實施例的在執行圖29的步驟1224-D之後形成的光阻圖案904A及光阻圖案904B。光阻圖案904B在裝置區1000A中沿著第二方向(y軸)平行地延伸。光阻圖案904A包含在疊對標記區1000B上的第一光阻圖案904A-1及第二光阻圖案904A-2。第一光阻圖案904A-1為環狀,且第二光阻圖案904A-2定位於第一光阻圖案904A-1的中心處。第一光阻圖案904A-1與第二光阻圖案904A-2彼此間隔開。
光阻層(此處未示出)可由光阻材料形成,且可形成於SiN層903上。使用光微影製程將光阻層圖案化成光阻圖案904A及光阻圖案904B。
圖32A及圖32B展示根據本發明概念的例示性實施例的在執行步驟1224-E之後形成的SiN圖案903A及SiN圖案903B以及上部有機平坦化層圖案902A及上部有機平坦化層圖案902B。藉由蝕刻透過光阻圖案904A及光阻圖案904B暴露的區來圖案化SiN層903及上部有機平坦化層902,以形成SiN圖案903A及SiN圖案903B以及上部有機平坦化層圖案902A及上部有機平坦化層圖案902B。舉例而言,可將光阻圖案904A及光阻圖案904B的經圖案化結構轉移至SiN層903及上部有機平坦化層902,以形成SiN圖案903A及SiN圖案903B以及上部有機平坦化層圖案902A及上部有機平坦化層圖案902B。蝕刻製程可使用具有關於氧化物層901的蝕刻選擇性的上部有機平坦化層902及SiN層903的蝕刻劑。在蝕刻製程中,移除光阻圖案904A及光阻圖案904B。在例示性實施例中,可部分移除光阻圖案904A及光阻圖案904B。
圖33A及圖33B展示根據本發明概念的例示性實施例的在執行步驟1224-F之後形成的氧化物圖案901A及氧化物圖案901B。藉由蝕刻透過SiN圖案903A及SiN圖案903B以及有機平坦化層圖案902A及有機平坦化層圖案902B暴露的區來圖案化氧化物層901,以形成氧化物圖案901A及氧化物圖案901B。蝕刻製程可使用具有關於上部心軸層806的蝕刻選擇性的氧化物層901的蝕刻劑。在蝕刻製程中,移除SiN圖案903A及SiN圖案903B。在例示性實施例中,可部分移除SiN圖案903A及SiN圖案903B。
圖34A及圖34B展示根據本發明概念的例示性實施例的在執行步驟1224-G之後形成的上部心軸806A及上部心軸806B。上部心軸層806形成於下部心軸層802上。藉由蝕刻透過氧化物圖案901A及氧化物圖案901B暴露的區來圖案化上部心軸層806,以形成上部心軸806A及上部心軸806B。蝕刻製程可使用具有關於下部心軸層802的蝕刻選擇性的上部心軸層806的蝕刻劑。在例示性實施例中,可移除氧化物圖案901A及氧化物圖案901B,使得將上部心軸層806圖案化為上部心軸806A及上部心軸806B,例如在圖25A及圖25B中所展示。
在例示性實施例中,可根據圖2、圖6(圖2的步驟1000)、圖11(圖6的步驟1200)、圖18(圖6的步驟1300)、圖23(圖11的步驟1220)以及圖29(圖23的步驟1224)的流程圖的例示性實施例形成圖1的鰭型結構200及疊對標記300。
圖35為具有根據本發明概念的例示性實施例製造的半導體裝置的半導體模組。
參考圖35,半導體模組5000包含根據例示性實施例的半導體裝置530。半導體裝置530安裝在半導體模組基板510上。半導體模組5000進一步包含安裝在半導體模組基板510上的微處理器520。輸入/輸出端子540安置於半導體模組基板510的至少一側上。半導體模組5000可包含在記憶卡或固態磁碟機(SSD)中。在例示性實施例中,微處理器520可包含根據例示性實施例製造的半導體裝置。
圖36為具有根據本發明概念的例示性實施例的半導體裝置的電子系統的方塊圖。
參考圖36,根據本發明概念的例示性實施例製造的半導體裝置被應用於電子系統6000。電子系統6000包含本體610、微處理器單元620、電源供應器630、功能單元640以及顯示控制器單元650。本體610可包含具有印刷電路板(PCB)或類似者的系統板或母板。微處理器單元620、電源供應器630、功能單元640以及顯示控制器單元650安裝或安置於本體610上。顯示單元660安置於本體610的上部表面上或本體610外部。舉例而言,顯示單元660安置於本體610的表面上,顯示經顯示控制器單元650處理的影像。電源供應器630自外部電源供應器接收恆定電壓,產生各種電壓位準以供應電壓至微處理器單元620、功能單元640、顯示控制器單元650等。微處理器單元620自電源供應器630接收電壓以控制功能單元640及顯示單元660。功能單元640可執行電子系統6000的各種功能。舉例而言,當電子系統6000為行動電子產品(諸如蜂巢式電話或類似者)時,功能單元640可包含各個組件以執行無線通信功能,諸如經由與外部裝置670的通信進行撥號、將視訊輸出至顯示單元660或將語音輸出至揚聲器,且當包含相機時,功能單元640可充當影像處理器。若電子系統6000連接至記憶卡以擴增能力,則功能單元640可充當記憶卡控制器。功能單元640可經由有線或無線通信單元680與外部裝置670交換信號。另外,當電子系統6000需要通用串列匯流排(Universal Serial Bus,USB)以擴展功能時,功能單元640可充當介面控制器。功能單元640可包含根據本發明概念的例示性實施例製造的半導體裝置。
圖37為具有根據本發明概念的例示性實施例製造的半導體裝置的電子系統的方塊圖。
參考圖37,電子系統7000可包含在行動裝置或電腦中。舉例而言,電子系統7000包含記憶體系統712、微處理器714、隨機存取記憶體(RAM)716,以及經組態以使用匯流排720執行資料通信的使用者介面718。微處理器714可程式化及控制電子系統7000。隨機存取記憶體716可用作微處理器714的操作記憶體。舉例而言,微處理器714或隨機存取記憶體716可包含根據本發明概念的例示性實施例製造的半導體裝置。
微處理器714、隨機存取記憶體716以及/或其他組件可裝配於單一封裝內。使用者介面718可用以輸入資料至電子系統7000或自電子系統7000輸出資料。記憶體系統712可儲存微處理器714的操作程式碼、經微處理器714處理的資料,或自外部接收的資料。記憶體系統712可包含控制器及記憶體。
儘管本發明概念已參考其例示性實施例加以展示及描述,但熟習此項技術者將顯而易見,可在不偏離如由以下申請專利範圍所界定之本發明概念的精神及範疇的情況下在其中作出形式及細節的各種改變。
100‧‧‧基板200‧‧‧鰭型結構300‧‧‧疊對標記300A‧‧‧表面300B‧‧‧邊緣界線400‧‧‧外部區500‧‧‧閘電極層510‧‧‧半導體模組基板520‧‧‧微處理器530‧‧‧半導體裝置540‧‧‧輸入/輸出端子600‧‧‧蝕刻罩幕圖案610‧‧‧本體620‧‧‧微處理器單元630‧‧‧電源供應器640‧‧‧功能單元650‧‧‧顯示控制器單元660‧‧‧顯示單元670‧‧‧外部裝置680‧‧‧有線或無線通信單元700‧‧‧閘電極712‧‧‧記憶體系統714‧‧‧微處理器716‧‧‧隨機存取記憶體718‧‧‧使用者介面720‧‧‧匯流排801‧‧‧硬罩幕層801A‧‧‧罩幕圖案801A-1‧‧‧第一疊對罩幕圖案801A-2‧‧‧第二疊對罩幕圖案801B‧‧‧硬罩幕圖案802‧‧‧下部心軸層802A‧‧‧下部心軸802A-1‧‧‧第一下部心軸802A-2‧‧‧第二下部心軸802A-3‧‧‧第三下部心軸802B‧‧‧下部心軸803‧‧‧下部罩幕層803A‧‧‧下部罩幕圖案803A-1‧‧‧下部罩幕圖案803A-2‧‧‧下部罩幕圖案803A-3‧‧‧下部罩幕圖案803B‧‧‧下部罩幕圖案804‧‧‧有機平坦化層805‧‧‧冗餘鰭型結構806‧‧‧上部心軸層806A‧‧‧上部心軸806A-1‧‧‧第一上部心軸806A-2‧‧‧第二上部心軸806B‧‧‧上部心軸807‧‧‧初步上部罩幕層807A‧‧‧上部罩幕圖案807B‧‧‧上部罩幕圖案901‧‧‧氧化物層901A‧‧‧氧化物圖案901B‧‧‧氧化物圖案902‧‧‧上部有機平坦化層902A‧‧‧上部有機平坦化層圖案902B‧‧‧上部有機平坦化層圖案903‧‧‧SiN層903A‧‧‧SiN圖案903B‧‧‧SiN圖案904A‧‧‧光阻圖案904A-1‧‧‧第一光阻圖案904A-2‧‧‧第二光阻圖案904B‧‧‧光阻圖案1000‧‧‧半導體裝置1000A‧‧‧裝置區1000B‧‧‧疊對標記區1100‧‧‧步驟1200‧‧‧步驟1210‧‧‧步驟1220‧‧‧步驟1222‧‧‧步驟1224‧‧‧步驟1224-A‧‧‧步驟1224-B‧‧‧步驟1224-C‧‧‧步驟1224-D‧‧‧步驟1224-E‧‧‧步驟1224-F‧‧‧步驟1224-G‧‧‧步驟1226‧‧‧步驟1227‧‧‧步驟1228‧‧‧步驟1230‧‧‧步驟1240‧‧‧步驟1250‧‧‧步驟1260‧‧‧步驟1300‧‧‧步驟1310‧‧‧步驟1320‧‧‧步驟1330‧‧‧步驟1400‧‧‧步驟2000‧‧‧步驟3000‧‧‧步驟4000‧‧‧步驟5000‧‧‧半導體模組6000‧‧‧電子系統7000‧‧‧電子系統D11‧‧‧距離D21‧‧‧距離D22‧‧‧距離G1‧‧‧第一間隙G2‧‧‧第二間隙G3‧‧‧第三間隙T11‧‧‧厚度T21‧‧‧厚度T22‧‧‧厚度
本發明概念的這些及其他特徵將藉由參考隨附圖式詳細地描述其例示性實施例而變得更顯而易見,其中: 圖1展示根據本發明概念的例示性實施例的半導體裝置的裝置區及疊對標記區的透視圖。 圖2為根據本發明概念的例示性實施例的製造裝置區及疊對標記區的流程圖。 圖3至圖5展示根據本發明概念的例示性實施例的裝置區及疊對標記區的透視圖。 圖6展示根據本發明概念的例示性實施例的在製造FinFET的鰭型結構的製程中形成平台狀疊對標記的流程圖。 圖7展示根據本發明概念的例示性實施例的裝置區及疊對標記區的橫截面圖。 圖8A至圖10A展示根據本發明概念的例示性實施例的裝置區及疊對標記區的平面圖。 圖8B至圖10B展示根據本發明概念的例示性實施例的裝置區及疊對標記區的沿著圖8A至圖10A的線X-X'所取的橫截面圖。 圖11展示根據本發明概念的例示性實施例的圖6的步驟1200的圖案化硬罩幕層以形成罩幕圖案及平台狀罩幕圖案的流程圖。 圖12展示根據本發明概念的例示性實施例的裝置區及疊對標記區的橫截面圖。 圖13A至圖17A展示根據本發明概念的例示性實施例的裝置區及疊對標記區的平面圖。 圖14C展示根據本發明概念的例示性實施例的保形地形成於圖13A及圖13B的所得結構上的初步下部罩幕層。 圖13B至圖17B展示根據本發明概念的例示性實施例的裝置區及疊對標記區的沿著圖13A至圖17A的線X-X'所取的橫截面圖。 圖18展示圖6的步驟1300的圖案化目標層的流程圖。 圖19至圖22展示根據本發明概念的例示性實施例的裝置區及疊對標記區的橫截面圖。 圖23展示根據本發明概念的例示性實施例的圖11的步驟1220的圖案化下部心軸層的流程圖。 圖24展示根據本發明概念的例示性實施例的裝置區及疊對標記區的橫截面圖。 圖25A至圖28A展示根據本發明概念的例示性實施例的裝置區及疊對標記區的平面圖。 圖25B至圖28B展示根據本發明概念的例示性實施例的裝置區及疊對標記區的沿著圖25A至圖28A的線X-X'所取的橫截面圖。 圖26C展示根據本發明概念的例示性實施例的保形地形成於圖26A及圖26B的所得結構上的初步上部罩幕層。 圖29展示根據本發明概念的例示性實施例的圖23的步驟1224的圖案化上部心軸層的流程圖。 圖30展示根據本發明概念的例示性實施例的裝置區及疊對標記區的橫截面圖。 圖31A至圖34A展示根據本發明概念的例示性實施例的裝置區及疊對標記區的平面圖。 圖31B至圖34B展示根據本發明概念的例示性實施例的裝置區及疊對標記區的沿著圖31A至圖34A的線X-X'所取的橫截面圖。 圖35為具有根據本發明概念的例示性實施例製造的半導體裝置的半導體模組。 圖36為具有根據本發明概念的例示性實施例的半導體裝置的電子系統的方塊圖。 圖37為具有根據本發明概念的例示性實施例製造的半導體裝置的電子系統的方塊圖。 應瞭解,為使說明簡單及清晰起見,圖式中說明的元件未必按比例繪製。舉例而言,為清晰起見,一些元件的尺寸相對於其他元件被誇示。另外,在認為適當時,已在各圖中重複圖式元件符號以指示對應或類似元件。 儘管可能未展示一些橫截面圖的對應平面圖及/或透視圖,但本文中所說明的裝置結構的橫截面圖支援沿著兩個不同方向(如將在平面圖中所說明)及/或在三個不同方向上(如將在透視圖中所說明)延伸的多個裝置結構。兩個不同方向可或可不彼此正交。三個不同方向可包含可正交於兩個不同方向的第三方向。多個裝置結構可整合於同一電子裝置中。舉例而言,當在橫截面圖中說明裝置結構(例如,記憶胞結構或電晶體結構)時,電子裝置可包含多個裝置結構(例如,記憶胞結構或電晶體結構),如將藉由電子裝置的平面圖說明。該多個裝置結構可以陣列及/或以二維圖案配置。
100‧‧‧基板
200‧‧‧鰭型結構
300‧‧‧疊對標記
300A‧‧‧表面
300B‧‧‧邊緣界線
400‧‧‧外部區
1000‧‧‧半導體裝置
1000A‧‧‧裝置區
1000B‧‧‧疊對標記區

Claims (20)

  1. 一種製造半導體裝置的方法,包括:形成目標層;在所述目標層上形成硬罩幕層;圖案化所述硬罩幕層以形成包含第一罩幕圖案及平台狀罩幕圖案的疊對罩幕圖案,其中所述第一罩幕圖案封圍所述平台狀罩幕圖案,且所述第一罩幕圖案與所述平台狀罩幕圖案間隔開;使用所述疊對罩幕圖案圖案化所述目標層,以形成冗餘鰭形件及平台狀疊對標記;以及移除所述冗餘鰭形件。
  2. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中使用所述第一罩幕圖案圖案化所述冗餘鰭形件,且其中使用所述平台狀罩幕圖案圖案化所述平台狀疊對標記。
  3. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中所述硬罩幕層是由氮化矽形成,且其中所述目標層是由矽或SixGe1-x形成,其中x為小於1的正實數。
  4. 如申請專利範圍第1項所述的製造半導體裝置的方法,進一步包括:在圖案化所述硬罩幕層之前,在所述硬罩幕層上形成下部心軸,其中形成所述下部心軸包括:在所述硬罩幕層上形成下部心軸層;圖案化所述下部心軸層以形成包含第一下部心軸及第二下部 心軸的所述下部心軸,其中所述第一下部心軸與所述第二下部心軸為環狀且同心的,以使得在所述第一下部心軸與所述第二下部心軸之間形成第一間隙,且其中所述第二下部心軸定位於所述第一下部心軸內且彼此間隔開第一距離。
  5. 如申請專利範圍第4項所述的製造半導體裝置的方法,進一步包括:在圖案化所述下部心軸層之前,在所述下部心軸層上形成上部心軸,其中形成所述上部心軸包括:在所述下部心軸層上形成上部心軸層;圖案化所述上部心軸層以形成包含第一上部心軸及第二上部心軸的所述上部心軸,其中所述第一上部心軸為環狀且所述第二上部心軸為十字準線狀,其中所述第一上部心軸封圍所述第二上部心軸,且其中所述第一上部心軸與所述第二上部心軸為同心的,以使得在所述第一上部心軸與所述第二上部心軸之間形成第二間隙。
  6. 如申請專利範圍第5項所述的製造半導體裝置的方法,進一步包括:形成上部罩幕層,使得所述上部罩幕層保形地覆蓋所述第一上部心軸及所述第二上部心軸且不填充所述第二間隙;在所述上部罩幕層上執行各向異性蝕刻製程以形成多個上部罩幕圖案,其中每一所述上部罩幕圖案安置於所述第一上部心軸及所述第二上部心軸中的每一者的側壁上;以及在執行所述各向異性蝕刻製程之後移除所述第一上部心軸及 所述第二上部心軸,其中所述上部罩幕圖案彼此間隔開,且其中使用所述上部罩幕圖案執行所述下部心軸層的圖案化。
  7. 如申請專利範圍第6項所述的製造半導體裝置的方法,其中所述上部心軸層是由非晶碳形成。
  8. 如申請專利範圍第4項所述的製造半導體裝置的方法,其中所述下部心軸層是由矽形成。
  9. 如申請專利範圍第4項所述的製造半導體裝置的方法,進一步包括:在所述第一下部心軸及所述第二下部心軸上形成下部罩幕層,使得所述下部罩幕層完全填充所述第一間隙;在所述下部罩幕層上執行各向異性蝕刻製程,以形成包含第一下部罩幕圖案、第二下部罩幕圖案以及第三下部罩幕圖案的下部罩幕圖案,其中所述第二下部罩幕圖案定位於所述第一間隙內且完全填充所述第一間隙,其中所述第一下部罩幕圖案形成於所述第一下部心軸的外側壁上,且其中所述第三下部罩幕圖案形成於所述第二下部心軸的內側壁上;以及移除所述下部心軸,其中所述第一下部罩幕圖案、所述第二下部罩幕圖案以及所述第三下部罩幕圖案彼此間隔開。
  10. 如申請專利範圍第9項所述的製造半導體裝置的方法,其中所述第一下部罩幕圖案、所述第二下部罩幕圖案以及所述第三下部罩幕圖案為環狀且同心的,且 其中所述第二下部罩幕圖案定位於所述第一下部罩幕圖案與所述第三下部罩幕圖案之間。
  11. 如申請專利範圍第9項所述的製造半導體裝置的方法,其中所述下部罩幕層是由氧化矽形成。
  12. 如申請專利範圍第9項所述的製造半導體裝置的方法,進一步包括:形成有機平坦化層,使得所述有機平坦化層完全覆蓋所述第三下部罩幕圖案且部分覆蓋所述第二下部罩幕圖案,其中所述有機平坦化層的側壁定位於所述第二下部罩幕圖案的上部表面上,且其中所述有機平坦化層具有第一厚度。
  13. 如申請專利範圍第12項所述的製造半導體裝置的方法,其中藉由蝕刻製程使用所述第一下部罩幕圖案、所述第二下部罩幕圖案以及所述有機平坦化層作為蝕刻罩幕來執行圖案化所述硬罩幕層,其中使用所述第一下部罩幕圖案形成第一硬罩幕圖案,且其中使用所述第二下部罩幕圖案與所述有機平坦化層的組合結構形成平台狀硬罩幕圖案。
  14. 如申請專利範圍第1項所述的製造半導體裝置的方法,其中所述冗餘鰭形件的上部表面與所述平台狀疊對標記的上部表面為實質上共面的。
  15. 一種形成半導體裝置的方法,包括:形成將被圖案化為平台狀疊對標記及多個主動鰭形件的目標層;在所述目標層上形成硬罩幕層;在所述硬罩幕層上形成矽層;圖案化所述矽層以形成多個線狀矽圖案及第一環狀矽圖案以及第二環狀矽圖案,其中所述線狀矽圖案彼此間隔開第一距離,且所述第一環狀矽圖案與所述第二環狀矽圖案間隔開小於所述第一距離的第二距離,在所述線狀矽圖案、所述第一環狀矽圖案以及所述第二環狀矽圖案上形成氧化物層,使得所述氧化物層完全填充所述第一環狀矽圖案與所述第二環狀矽圖案之間的間隙;以及對所述氧化物層執行各向異性蝕刻製程以形成多個線狀氧化物圖案及第一環狀氧化物圖案、第二環狀氧化物圖案以及第三環狀氧化物圖案,其中所述線狀氧化物圖案形成於所述線狀矽圖案的側壁上,其中所述第一環狀氧化物圖案形成於所述第一環狀矽圖案的外側壁上,其中所述第二環狀氧化物圖案形成於所述第一環狀矽圖案的內側壁與所述第二環狀矽圖案的外側壁之間,且完全填充所述第一環狀矽圖案與所述第二環狀矽圖案之間的所述間隙,且其中所述第三環狀氧化物圖案形成於所述第二環狀矽圖案的內側壁上;以及移除所述線狀矽圖案、所述第一環狀矽圖案以及所述第二環 狀矽圖案;以及形成有機平坦化層,使得所述有機平坦化層的側壁定位於所述第二環狀氧化物圖案的上部表面上,其中所述有機平坦化層具有第一厚度;分別使用所述線狀氧化物圖案以及所述有機平坦化層與所述第二環狀氧化物圖案的組合結構圖案化所述硬罩幕層,以形成多個線狀罩幕圖案且形成平台狀罩幕圖案;以及使用所述線狀罩幕圖案及所述平台狀罩幕圖案圖案化所述目標層,使得在所述線狀罩幕圖案下方形成所述主動鰭形件,且在所述有機平坦化層與所述第二環狀氧化物圖案的所述組合結構下方形成所述平台狀疊對標記。
  16. 一種製造半導體裝置的方法,包括:在基板上形成目標層,所述目標層具有裝置區及疊對標記區;蝕刻所述裝置區及所述疊對標記區以分別形成多個主動鰭形件且形成平台狀疊對標記;在所述主動鰭形件上形成金屬層;以及使用所述平台狀疊對標記將光罩對準至所述主動鰭形件,其中使用所述光罩圖案化所述金屬層以形成多個閘電極。
  17. 如申請專利範圍第16項所述的製造半導體裝置的方法,進一步包括:在所述目標層上形成硬罩幕層;以及在所述硬罩幕層上形成多個下部心軸,所述下部心軸包含多個第一下部心軸、第二下部心軸以及第三下部心軸,其中所述第 一下部心軸為線狀且形成於所述裝置區上,其中所述第二下部心軸及所述第三下部心軸為環狀且形成於所述疊對標記區上;以及形成包含多個第一下部罩幕圖案、第二下部罩幕圖案、第三下部罩幕圖案以及第四下部罩幕圖案的多個下部罩幕圖案,其中所述第一下部罩幕圖案形成於所述第一下部心軸的側壁上,其中所述第二下部罩幕圖案形成於所述第二下部心軸的外側壁上,其中所述第三下部罩幕圖案形成於所述第二下部心軸的內側壁與所述第三下部心軸的外側壁之間,其中所述第四下部罩幕圖案形成於所述第三下部心軸的內側壁上,且其中所述第三下部罩幕圖案的厚度為所述第二下部罩幕圖案的厚度的約兩倍。
  18. 如申請專利範圍第17項所述的製造半導體裝置的方法,進一步包括:在形成所述下部罩幕圖案之後,移除所述下部心軸;形成有機平坦化層,所述有機平坦化層完全覆蓋所述第四下部罩幕圖案且部分覆蓋所述第三下部罩幕圖案且暴露所述第二下部罩幕圖案及所述第一下部罩幕圖案,其中所述有機平坦化層的側壁形成於所述第三下部罩幕圖案的上部表面上。
  19. 如申請專利範圍第18項所述的製造半導體裝置的方法,進一步包括:使用所述下部罩幕圖案及所述有機平坦化層圖案化所述硬罩幕層,以分別在所述裝置區及所述疊對標記區上形成多個鰭式罩幕圖案及平台狀疊對標記圖案。
  20. 如申請專利範圍第19項所述的製造半導體裝置的方法,其中使用所述第一下部罩幕圖案形成所述鰭式罩幕圖案,其中使用所述第三下部罩幕圖案與所述有機平坦化層的組合罩幕形成所述平台狀疊對標記圖案。
TW105129719A 2015-10-28 2016-09-13 製造及形成半導體裝置的方法 TWI711113B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562247243P 2015-10-28 2015-10-28
US62/247,243 2015-10-28
US15/184,315 2016-06-16
US15/184,315 US9812364B2 (en) 2015-10-28 2016-06-16 Method of fabricating semiconductor device with an overlay mask pattern

Publications (2)

Publication Number Publication Date
TW201715639A TW201715639A (zh) 2017-05-01
TWI711113B true TWI711113B (zh) 2020-11-21

Family

ID=58637362

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105129719A TWI711113B (zh) 2015-10-28 2016-09-13 製造及形成半導體裝置的方法

Country Status (4)

Country Link
US (1) US9812364B2 (zh)
KR (1) KR102633806B1 (zh)
CN (1) CN107026074B (zh)
TW (1) TWI711113B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10833157B2 (en) 2017-12-18 2020-11-10 International Business Machines Corporation iFinFET
CN108615719B (zh) * 2018-05-04 2020-07-17 长江存储科技有限责任公司 半导体器件的对准方法
CN110707044B (zh) * 2018-09-27 2022-03-29 联华电子股份有限公司 形成半导体装置布局的方法
DE102019130911A1 (de) * 2018-11-30 2020-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Halbleitervorrichtung und herstellungsverfahren
US11177177B2 (en) 2018-11-30 2021-11-16 Taiwan Semiconductor Manufacturing Company Limited Semiconductor device and method of manufacture
CN112542385A (zh) * 2019-09-20 2021-03-23 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
CN112670245B (zh) * 2019-10-15 2022-07-05 长鑫存储技术有限公司 半导体元件的制作方法
CN111477610B (zh) * 2020-04-21 2022-07-12 錼创显示科技股份有限公司 对准结构
TWI736226B (zh) * 2020-04-21 2021-08-11 錼創顯示科技股份有限公司 對位結構
TWI825729B (zh) * 2022-03-01 2023-12-11 南亞科技股份有限公司 具有疊置標記結構的半導體元件結構

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140065832A1 (en) * 2012-09-04 2014-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced finfet process overlay mark

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US2985589A (en) * 1957-05-22 1961-05-23 Universal Oil Prod Co Continuous sorption process employing fixed bed of sorbent and moving inlets and outlets
KR100745914B1 (ko) * 2006-01-23 2007-08-02 주식회사 하이닉스반도체 반도체 소자의 형성 방법
KR20080006941A (ko) * 2006-07-14 2008-01-17 주식회사 하이닉스반도체 반도체 소자의 노광마스크 및 반도체소자의 형성방법
US7666578B2 (en) 2006-09-14 2010-02-23 Micron Technology, Inc. Efficient pitch multiplication process
KR100790998B1 (ko) 2006-10-02 2008-01-03 삼성전자주식회사 셀프 얼라인 더블 패터닝법을 사용한 패드 패턴 형성 방법 및 셀프 얼라인 더블 패터닝법을 사용한 콘택홀 형성방법
KR20090001078A (ko) 2007-06-29 2009-01-08 주식회사 하이닉스반도체 반도체 소자의 얼라인먼트 키 형성 방법
KR20090044409A (ko) 2007-10-31 2009-05-07 주식회사 하이닉스반도체 스페이서 패터닝을 이용한 패턴 형성방법
KR100934831B1 (ko) 2008-05-29 2009-12-31 주식회사 하이닉스반도체 반도체 소자의 미세 패턴 형성방법
KR101515907B1 (ko) 2008-10-23 2015-04-29 삼성전자주식회사 반도체 소자의 패턴 형성 방법
KR101618749B1 (ko) 2009-02-27 2016-05-09 삼성전자주식회사 반도체 소자의 패턴 형성 방법
JP2011176150A (ja) 2010-02-24 2011-09-08 Elpida Memory Inc 半導体装置の製造方法
KR20120062385A (ko) 2010-12-06 2012-06-14 에스케이하이닉스 주식회사 반도체 메모리 소자의 형성방법
US8461053B2 (en) 2010-12-17 2013-06-11 Spansion Llc Self-aligned NAND flash select-gate wordlines for spacer double patterning
US8883649B2 (en) * 2011-03-23 2014-11-11 International Business Machines Corporation Sidewall image transfer process
US8629040B2 (en) * 2011-11-16 2014-01-14 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for epitaxially growing active regions between STI regions
US9252021B2 (en) * 2012-02-09 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for patterning a plurality of features for Fin-like field-effect transistor (FinFET) devices
US9275890B2 (en) 2013-03-15 2016-03-01 Globalfoundries Inc. Methods of forming alignment marks and overlay marks on integrated circuit products employing FinFET devices and the resulting alignment/overlay mark
CN103681356A (zh) * 2013-12-27 2014-03-26 上海集成电路研发中心有限公司 以碳纳米管为掩膜制备FinFET的方法
CN104157574B (zh) * 2014-07-31 2018-06-05 上海集成电路研发中心有限公司 双重图形化鳍式晶体管的鳍结构线顶端切断方法
US9620607B2 (en) * 2014-12-04 2017-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device structure and Fin field effect transistor (FinFET) device structure
US9379017B1 (en) * 2015-01-12 2016-06-28 Globalfoundries Inc. Method of forming a semiconductor structure including a plurality of fins and an alignment/overlay mark

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20140065832A1 (en) * 2012-09-04 2014-03-06 Taiwan Semiconductor Manufacturing Company, Ltd. Enhanced finfet process overlay mark

Also Published As

Publication number Publication date
CN107026074B (zh) 2021-07-13
US20170125300A1 (en) 2017-05-04
US9812364B2 (en) 2017-11-07
KR20170049374A (ko) 2017-05-10
KR102633806B1 (ko) 2024-02-02
TW201715639A (zh) 2017-05-01
CN107026074A (zh) 2017-08-08

Similar Documents

Publication Publication Date Title
TWI711113B (zh) 製造及形成半導體裝置的方法
US11043430B2 (en) Semiconductor device having work-function metal and method of forming the same
US9842778B2 (en) Method of fabricating FinFET structure
US9640659B2 (en) Methods of fabricating semiconductor devices including hard mask patterning
KR102037874B1 (ko) 반도체 소자의 홀 패턴들을 형성하는 방법
US20140162420A1 (en) Method of fabricating semiconductor devices having vertical cells
US9553027B2 (en) Fine patterning methods and methods of fabricating semiconductor devices using the same
US9536751B2 (en) Method for forming patterns for semiconductor device
US9773908B2 (en) Semiconductor devices including fin bodies with varied epitaxial layers
KR102350001B1 (ko) 반도체 소자 제조 방법
US9437444B2 (en) Semiconductor device having hard mask structure and fine pattern and forming method thereof
KR102200929B1 (ko) 반도체 소자 및 이의 제조 방법
US9559192B1 (en) Method of fabricating semiconductor device
TWI741993B (zh) 具多hsi選項的背側鰭凹部控制
US20150325478A1 (en) Method of fabricating a semiconductor device and a semiconductor device fabricated by the method
US9831119B2 (en) Semiconductor device and method of fabricating the same
US9142454B1 (en) Semiconductor structure and method for manufacturing the same
US9461058B2 (en) Methods of fabricating semiconductor devices including multiple patterning
US10438857B2 (en) Semiconductor device and method of manufacturing thereof
KR102323660B1 (ko) 반도체 소자 제조 방법
US20130102123A1 (en) Method for fabricating single-sided buried strap in a semiconductor device