TWI701727B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI701727B
TWI701727B TW104132889A TW104132889A TWI701727B TW I701727 B TWI701727 B TW I701727B TW 104132889 A TW104132889 A TW 104132889A TW 104132889 A TW104132889 A TW 104132889A TW I701727 B TWI701727 B TW I701727B
Authority
TW
Taiwan
Prior art keywords
metal
layer
opening
substrate
tungsten
Prior art date
Application number
TW104132889A
Other languages
English (en)
Other versions
TW201701335A (zh
Inventor
林加明
張簡旭珂
林俊澤
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201701335A publication Critical patent/TW201701335A/zh
Application granted granted Critical
Publication of TWI701727B publication Critical patent/TWI701727B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28568Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table the conductive layers comprising transition metals
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28088Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor the final conductor layer next to the insulator being a composite, e.g. TiN
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76832Multiple layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42356Disposition, e.g. buried gate electrode
    • H01L29/4236Disposition, e.g. buried gate electrode within a trench, e.g. trench gate electrode, groove gate electrode
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Composite Materials (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

一種半導體裝置包含一基板、至少一層、一金屬黏著物以及一金屬結構。層係沈積於基板上。層具有一開口,且開口具有一底表面以至少一側壁。金屬黏著物係設置於開口之底表面上,並且暴露出開口之側壁之至少一部分。金屬結構係設置於開口內並位於金屬黏著物上。

Description

半導體裝置及其製造方法
本發明是有關於一種半導體裝置。
持續不斷提升半導體裝置表現的努力伴隨著持續縮小元件特徵尺寸的努力,從而提升了元件的性能速度以及其功能能力。在半導體積體電路(Integrated Circuit,IC)的演進的過程中,當幾何尺寸(即使用一製程所能創造出來最小組件(或線))減小時,功能密度(每一晶片面積內互連元件的數目)已經普遍增加。如此的縮小尺寸也已經增加了IC加工處理與製造的複雜度。
依據本揭露的一些實施方式,一種半導體裝置包含一基板、至少一層、一金屬黏著物以及一金屬結構。層係沈積於基板上。層具有一開口,且開口具有一底表面以至少一側壁。金屬黏著物係設置於開口之底表面上,並且暴露出開口之側壁之至少一部分。金屬結構係設置於開口內並位於金屬黏著物上。
依據本揭露的一些實施方式,一種製造一半導體裝置的方法包含形成至少一層於一基板上。層具有一開口,且開口具有一底表面以至少一側壁。一金屬黏著物被形成以覆蓋開口之底表面,並且暴露出開口之側壁之至少一部分。一金屬結構係形成於開口內並位於金屬黏著物上。
依據本揭露的一些實施方式,一種製造一半導體裝置的方法包含形成一層於一基板上。層具有一開口。一金屬黏著物被非等向性地形成於開口內。一金屬結構係形成於開口內並位於金屬黏著物上。
根據上述實施方式,金屬黏著物使得金屬插塞在剩餘的開口內具有較佳的填充特性,進而藉由使金屬對剩餘開口填充容易,造成連續並且無孔洞的金屬插。
105、175、415‧‧‧開口
110‧‧‧基板
112‧‧‧摻雜區域
120’‧‧‧介電材料
120‧‧‧界面層
130‧‧‧側壁物
140、410‧‧‧介電層
150’、150‧‧‧高介電係數值介電層
155’、155‧‧‧覆蓋層
160’、170’、160、170‧‧‧阻障層
165’、165‧‧‧功函數金屬層
175b、415b‧‧‧底表面
175s、415s‧‧‧側壁
180‧‧‧金屬黏著物
190’‧‧‧金屬層
190‧‧‧閘極電極
200‧‧‧虛置閘極堆疊
210’‧‧‧閘極材料
210‧‧‧虛置閘極
250‧‧‧金屬閘極堆疊
300‧‧‧圖案化硬遮罩
420‧‧‧金屬插塞
T‧‧‧厚度
當結合附圖進行閱讀時,通過以下詳細說明最好地理解本揭露的各方面。應該注意的是,根據工業中的標準實踐,多種部件不按比例繪製。事實上,為了論述清楚起見,多種部件的尺寸可以任意地增加或減小。
第1A圖至第1G圖係依據本揭露之一些實施方式之製作半導體元件的方法於各種階段時的剖面圖。
第2A圖至第2D圖係依據本揭露之一些實施方式製作半導體元件的方法於各種階段時的剖面圖。
以下的揭露提供了許多不同的實施方式或例子,以實現所提供主題之不同特徵。以下所描述之構件與安排的特定例子係用以簡化本揭露。當然這些例子僅供例示,並非用以作為限制。例如,在以下說明中,第一部件形成在第二部件上方或上可以包括以直接接觸的方式形成第一部件和第二部件的實施方式,並且還可以包括可以在第一部件和第二部件之間形成附加部件,使得第一部件和第二部件可以不直接接觸的實施方式。本揭露可能會在各例子中重複參考數字及/或文字。這樣的重複係基於簡單與清楚之目的,以其本身而言並非用以指定所討論之各實施方式及/或配置之間的關係。
而且,為了便於說明,可以在本發明中使用諸如「在…之下」、「在…下面」、「下部」、「在…上」、「上部」等的空間相對位置術語,以描述圖中所示的一個元件或部件與另一個元件或部件的關係。除了圖中描述的方位之外,空間關係術語預期還包含使用或操作中的器件的不同方位。另外,可以對裝置進行另外定向(旋轉90度或在其他方位上)並且因此同樣地解釋本文使用的空間關係描述符。
第1A圖至第1G圖係依據本揭露之一些實施方式製作半導體裝置的方法於各種階段時的剖面圖。如第1A圖所示,提供一基板110。基板110可為一半導體基板,包含有矽、鍺、矽鍺化合物、砷化鎵(GaAs)或其它適合的半導體材料。或者,基板110可包含有一磊晶層(未顯示於圖中)。此外,基板110可加入應力以增強性能。或者,基板110可包含有一矽晶絕緣體(SOI)結構,如一埋式介電層。又或者,基板110可 包含一埋式介電層,如一埋入氧化(BOX)層,例如用被稱為氧植入分離(separation by implantation of oxygen,SIMOX)技術、晶片接合、選擇磊晶生長(selective epitaxial growth,SEG)或其他適合方法所形成者。基板110也可包含一鳍式場效電晶體(FinFET)的一鳍狀結構,由適合的製程所形成,例如微影圖案製程與蝕刻製程。事實上,各種實施方式可包含各種基板結構及材料之任意一種。
基板110也包含由植入技術所形成的各種摻雜區(未顯示於圖中)。例如,基板110之一部份係被摻雜以形成一P型區域以及一P型井,而N通道元件將會被製作於P型井中。同樣地,基板110之另一部份可被摻雜以形成一N型區域以及一N型井,而P通道元件將會被製作於N型井中。這些摻雜區域係被P型摻雜物例如硼或二氟化硼,及/或N型摻雜物例如磷或砷所摻雜。這些摻雜區域可被直接形成於基板110上、於一P型井結構中、於一N型井結構中、於一雙井結構中、或使用一成長結構。
基板110也包含各種隔離特徵,例如淺溝槽隔離(shallow trench isolation,STI,未顯示於圖中),形成於基板110中以分離各種元件。淺溝槽隔離的形成可包含於基板110中蝕刻一溝槽,填充介電材料例如氧化矽、氮化矽、或氮氧化矽於溝槽,以及使用化學機械研磨(chemical mechanical polishing,CMP)以去除多餘的介電材料層。
於第1A圖中,一介電材料120’被任何適合的方法形成於基板110上,例如原子層沈積(ALD)、化學氣相沈積 (CVD)以及臭氧氧化(Ozone Oxidation)。原子層沈積是氣相的化學製程,並且為一自限的原子層間成長方法。原子層沈積的表面控制成長機制提供了良好的階梯式覆蓋以及只有少數(或沒有)針孔的緻密薄膜。原子層沈積所達到的精度使得極薄薄膜在奈米尺度時可以被受控的方式處理。介電材料120’包含氧化物、矽酸鉿氧化合物(HfSiO)及/或氮氧化物。據觀察,介電材料120'可對於某些高介電係數(κ)值介電閘極堆疊整合問題提供補救,例如固定臨界電壓以及降低載子遷移。介電材料120'也可以是一擴散屏障,以防止高κ值介質材料與基板110之間產生不期望的界面反應。
一閘極材料210',例如多晶矽,係利用本領域已知的沈積技術被設置於介電材料120’上或上方。或者,一非晶矽層可選擇性地被形成以取代多晶矽層。此外,一圖案化硬遮罩300被形成於閘極材料210’上。圖案化硬遮罩300包含氮化矽及/或氧化矽,或是光阻。圖案化硬遮罩300可包含多層。圖案化硬遮罩300係被一微影製程與一蝕刻製程所圖案化。
請參照第1B圖。藉著使用第1A圖中的圖案化硬遮罩300成為一蝕刻遮罩,一蝕刻製程被應用以形成一虛置(dummy)閘極堆疊200。虛置閘極堆疊200包含有由閘極材料210’(參照第1A圖)所圖案化的一虛置閘極210以及由介電材料120’(參照第1A圖)所圖案化的一界面層(IL)120。蝕刻製程包含乾蝕刻、濕蝕刻或是乾蝕刻與濕蝕刻的組合。乾蝕刻製程可植入含氟氣體(例如:四氟甲烷(CF4)、六氟化硫(SF6)、二氟甲烷(CH2F2)、三氟甲烷(CHF3)及/或六氟化乙烷(C2F6)), 含氯氣體(例如:氯氣(Cl2)、三氯甲烷(CHCl3)、四氯化碳(CCl4)及/或三氯化硼(BCl3)),含溴氣體(例如:溴化氫(HBr)及/或三溴甲烷(CHBr3),含碘氣體,其它合適的氣體及/或電漿,及/或其組合。蝕刻製程可包含多步驟的蝕刻以得到蝕刻選擇性、彈性以及所需要的蝕刻輪廓。
當虛置閘極堆疊200被形成後,複數側壁物130被形成於虛置閘極堆疊200的複數個側壁。這些側壁物130可包含一介電材料,例如氧化矽、氮化矽、碳化矽、氮氧化矽或是其組合。於一些實施方式中,此二側壁物130分別由多層或是多個側壁物所形成。例如,一密封側壁物先形成於虛置閘極堆疊200的側壁,接著一主要側壁物被形成於密封側壁物上。這些側壁物130可利用本領域已知的沈積以及蝕刻製程所形成。
請參照第1C圖。第1B圖中的虛置閘極210被去除以形成一開口105。在一些實施方式中,於去除虛置閘極210之前,一介電層140被形成於基板110上之這些側壁物130的外側面。介電層140包含氧化矽、氮氧化物或其他適合的材料。介電層140包含一單層或是多層。介電層140由適合的技術所形成,例如CVD或是ALD。一化學機械平坦化(CMP)製程可被應用,以去除多餘的介電層140,並且將虛置閘極210之一頂表面暴露給一後續的虛置閘極去除製程。
在本揭露中,一替代閘極(RPG)製程方案被運用。大體來說,於一替代閘極製程方案中,一虛置的多晶矽閘極先被形成,然後於高熱預算製程都被實施後再被一金屬閘極 所替代。於一些實施方式中,虛置閘極210(參照第1B圖)係被去除以形成開口105,且側壁物130為其側壁。於一些其他實施方式中,界面層120也被去除。又或者,在一些實施方式中,虛置閘極210被去除而界面層120被保留。虛置閘極210(以及界面層120)可被乾蝕刻、濕蝕刻或是乾蝕刻與濕蝕刻的組合所移除。例如,一濕蝕刻製程可包含暴露至一含氫氧化物的溶液(例如:氫氧化胺)、去離子水及/或其他適合的蝕刻液。
如1D圖所示,一高介電係數(κ)值介電層150’被共形地(conformally)形成於開口105內。於一些實施方式中,如果第1B圖之界面層120在之前的製程步驟已被去除,則另一界面層會先被沈積。高κ值介電層150’可包含氧化鑭(LaO)、一氧化鋁(AlO)、一氧化鋯(ZrO)、一氧化鈦(TiO)、五氧化二鉭(Ta2O5)、氧化釔(Y2O3)、鈦酸鍶(SrTiO3,STO)、鈦酸鋇(BaTiO3)、鋇鋯氧化合物(BaZrO)、鉿鋯氧化合物(HfZrO)、鉿鑭氧化合物(HfLaO)、鉿矽氧化合物(HfSiO)、鑭矽氧化合物(LaSiO)、鋁矽氧化合物(AlSiO)、鉿鉭氧化合物(HfTaO)、鉿鈦氧化合物(HfTiO)、鈦酸鍶鋇((Ba,Sr)TiO3,BST)、三氧化二鋁(Al2O3)、氮化矽(Si3N4)、氮氧化物(如氧氧化矽(SiON))或其他合適的材料。高κ值介電層150’係利用適合的技術所沈積,例如ALD、CVD、物理氣相沈積(PVD)、熱氧化、其組合或其他適合的技術。PVD為一種牽涉物理製程的沈積方法,例如電漿濺鍍轟擊,而不牽涉到表面的化學反應。在電漿濺鍍製程中,原子或分子從被高能粒子 轟擊的靶材噴射出來,使得被噴射的原子或分子得以凝結在基板上成為薄膜。
隨後,一覆蓋層155’被共形地形成於高κ值介電層150’上。覆蓋層155’係用來導電,並且防止高κ值介電層150’與金屬閘極層之間的相互擴散以及反應。覆蓋層155’可包含耐火金屬以及它們的氮化物(例如:氮化鈦(TiN)、氮化鉭(TaN)、氮化鎢(W2N)、氮化鈦矽(TiSiN)、氮化鉭矽(TaSiN))。覆蓋層155’可利用PVD、CVD、金屬有機物化學氣相沈積(MOCVD)與/或ALD所沈積。
接著,一阻障層160’被共形地形成於覆蓋層155’上。阻障層160’可包含多種金屬氮化物材料。例如,阻障層160’包含氮化鈦、氮化鉭或其組合。在一些實施方式中,阻障層160’包含一單層或是多層。在多層的配置中,這些層包含金屬氮化物的不同組成。例如,阻障層160’具有包含氮化鈦之第一金屬氮化物層以及包含氮化鉭之第二金屬氮化物層。阻障層160’係用以抑制金屬離子從一金屬層(例如:於此之一功函數金屬層150’)中,擴散至臨近層,進而抑制形成於功函數金屬層150’的附近不期望產生的孔洞。
然後,一功函數金屬層165’被均勻覆蓋地形成於阻障層160’上。於一些實施方式中,功函數金屬層165’可包含一單層或是多層,例如一功函數膜(work function film)、一襯墊膜(liner film)、一濕潤膜(wetting film)以及一黏著膜(adhesion film)。功函數金屬層165’可包含鈦、鈦化鋁、氮化鋁鈦、碳化鉭、氮碳化鉭、氮矽化鉭、錳、鋯、氮化鈦、氮 化鉭、釕、鉬、氮化鎢、鈷、鋁或任何適合的材料。例如,當金屬閘極堆疊250(參照第1G圖)為互補式金屬氧化物半導體(CMOS)元件之一N通道金屬氧化物半導體(NMOS)電晶體之一部分時,功函數金屬層165’包含鈦、鋁或鈦化鋁之至少一。或者,當一金屬閘極堆疊250(參照第1G圖)為CMOS元件之一P通道金屬氧化物半導體(PMOS)電晶體之一部分時,功函數金屬層165’包含氮化鈦、鈷、氮化鎢或碳化鉭之至少一。功函數金屬層165’可利用ALD、PVD、或其他適合的製程所形成。
隨後,一阻障層170’被共形地形成於功函數金屬層165’上。阻障層170’可包含多種金屬氮化物材料。例如,阻障層170’包含氮化鈦、氮化鉭或其組合。在一些實施方式中,阻障層170’包含一單層或是多層。在多層的配置中,這些層包含金屬氮化物的不同組成。例如,阻障層170’具有包含氮化鈦之第一金屬氮化物層以及包含氮化鉭之第二金屬氮化物層。阻障層170’係用以抑制金屬離子從一金屬層(例如:第1G圖中之一閘極電極190)中,擴散至臨近層,進而抑制形成於金屬閘極堆疊250的閘極電極190的附近之不期望產生的孔洞。於形成阻障層170’之後,開口105的大小縮小成開口175。開口175具有一底表面175b以及二側壁175s。
請參照第1E圖。一金屬黏著物180被非等向性地形成於阻障層170’上並位於開口175內,使得金屬黏著物180被沈積於開口175的底表面175b,並使開口175之側壁175s之至少一部分被暴露出來。被用來沈積金屬黏著物180的非等向沈積方法,可為任何可以提供一方向性沈積的方法,以使較多 的金屬黏著物材料被沈積於水平表面而非垂直表面。例如,非等向沈積方法可為一準直PVD方法,於其中第一金屬材料的方向朝下,並實質平行於示例性半導體結構的垂直方向。或者,非等向性沈積方法可運用射頻物理氣相沈積(RFPVD)濺鍍及/或使用常壓基板偏壓,例如:常壓偏壓施加於基板上。沈積速率係取決於入射粒子的入射角度,導致在開口175的底表面175b比側壁175s具有較高的沈積速率。於一些實施方式中,金屬黏著物180由金屬合金製成。在一些其它實施方式中,金屬黏著物180由矽(Si)、硼(B)、矽化鎢(WSix)、硼化鎢(WBx)、硼化鎢矽(WSixB)或它們的任意組合所製成。
由於金屬黏著物180係用非等向沈積製程所形成,金屬黏著物180係沈積於開口175的底表面175b上,並且實質上暴露出開口175的多個側壁175s。在一些實施方式中,金屬黏著物180之一厚度T係約為1埃至20埃。
請參照第1F圖。開口175的其餘部分被一金屬層190’所填充,且金屬層190’位於金屬黏著物180上。於一些實施方式中,金屬層190’包含鎢(W)。金屬層190’係利用ALD、PVD、CVD或其他適合的製程所沈積。由於鎢對於矽、硼、矽化鎢、硼化鎢、硼化鎢矽或其組合具有良好的附著力,鎢可以容易地附著至金屬黏著物180。因此,開口175的其餘部分係被由下而上填充,而不會留下孔洞,其中孔洞可能會降低元件良率並且造成信賴度的問題,例如於信賴度測試時的分層以及電致遷移。在一些其他實施方式中,金屬層190’包含鋁(Al)、銅(Cu)或其他適合的導電材料。
如第1G圖所示,於一些實施方式中,一CMP製程被應用以去除多餘的金屬層190’(參照第1F圖),進而對金屬層190’、阻障層170’及160’、功函數金屬層165’、覆蓋層155’以及高κ值介電層150’提供一實質上平面的頂表面(參照第1F圖)。剩餘的金屬層190’為閘極電極190。剩餘的阻障層170’及160’係分別為阻障層170及160,剩餘的功函數金屬層165’為一功函數金屬層165,剩餘的覆蓋層155’為一覆蓋層155,剩餘的高κ值介電層150’為一高κ值介電層150。閘極電極190、金屬黏著物180、阻障層170及160、功函數金屬層165、覆蓋層155以及高κ值介電層150共同形成金屬閘極堆疊250。
於第1A圖至第1G圖,在金屬層190’被形成前,金屬黏著物180係形成於開口175的底表面175b的上方,例如當阻障層170被形成時,形成於開口175的底部。由於金屬黏著物180係非等向地形成於開口175內,金屬黏著物180形成於開口175的底部。金屬黏著物180可附著於金屬層190’的金屬材料。因此,金屬層190’可以由下而上的方式被形成。金屬黏著物180使得金屬層190’在剩餘的開口175內具有較佳的填充特性,進而藉由使金屬對剩餘開口175填充容易,造成連續並且無孔洞的金屬閘極堆疊250,例如用於形成閘極電極190而不會留下未填充孔洞的鎢或鎢的化合物。產生於閘極電極190的孔洞可能劣化閘極電極190的電氣特性以及信賴度、增加閘極電極190的電阻及/或弱化閘極電極190的結構完整性。因此之故,第1G圖的配置可以改善上述問題。金屬黏著物180可由矽(Si)、硼(B)、矽化鎢(WSix)、硼化鎢(WBx)、硼化鎢矽 (WSixB)或它們的任意組合所製成。於一些實施方式中,金屬黏著物180之厚度T係約為1埃至20埃。
形成無孔洞金屬材料於一開口可被應用在於一半導體裝置形成一金屬插塞。第2A圖至第2D圖係依據本揭露之一些實施方式一製作一半導體裝置之方法於各種階段時的剖面圖。如第2A圖所示,提供一基板110。基板110可為一半導體基板,包含有矽、鍺、矽鍺、砷化鎵(GaAs)或其它適合的半導體材料。或者,基板110可包含有一磊晶層(未顯示於圖中)。此外,基板110可被強化以增強性能。或者,基板110可包含有一矽晶絕緣體(SOI)結構,如一埋式介電層。又或者,基板110可包含一埋式介電層,如一埋入氧化(BOX)層,例如用被稱為氧植入分離(SIMOX)技術、晶片接合、選擇晶膜生長(SEG)或其他適合方法所形成者。基板110也可包含一鳍式場效電晶體(FinFET)的一鳍狀結構,由適合的製程所形成,例如微影圖案製程與蝕刻製程。事實上,各種實施方式可包含各種基板結構及材料之任意一種。
基板110也包含由植入技術所形成的各種摻雜區。例如,在第2A圖中,基板110之一部份係被摻雜以形成一摻雜區域112。摻雜區域112可為一P型區域或是一N型區域。在一些實施方式中,摻雜區域112可被摻雜P型摻雜物例如硼或二氟化硼,及/或N型摻雜物例如磷或砷所摻雜。此摻雜區域112可被直接形成於基板110上、於一P型井結構中、於一N型井結構中、於一雙井結構中、或使用一凸起結構。
基板110也包含各種隔離特徵,例如淺溝槽隔離(STI,未顯示於圖中),形成於基板110中以分離各種元件。淺溝槽隔離的形成可包含於基板110中蝕刻一溝槽,填充介電材料例如氧化矽、氮化矽、或氮氧化矽於溝槽,以及使用化學機械研磨(CMP)以去除多餘的介電材料層。
請參照第2A圖。一介電層410被形成於基板110上,介電層410包含氧化矽、氮氧化物或其他適合的材料。介電層410包含一單層或多層。介電層410由一適合的技術所形成,例如CVD或ALD。
請參照第2B圖。一開口415(或是一通孔)形成於介電層410以暴露出基板110的摻雜區域112。在一些實施方式中,開口415可利用標準的微影以及反應性離子蝕刻(RIE)程序所形成,而反應性離子蝕刻使用三氟甲烷作為蝕刻劑。在另外一些實施方式中,使用適當的蝕刻劑以及技術以提供高蝕刻速率比為本領域所熟知。在第2B圖中,開口415具有一底表面415b以及一側壁415s。在第2B圖中,底表面415b為被開口415所暴露出來的摻雜區域112的頂表面。
請參照第2C圖。一金屬黏著物180被非等向性地形成於開口415之內並位於底表面415b上。被用來沈積金屬黏著物180的非等向沈積方法,可為任何可以提供一方向性沈積的方法,以使較多的金屬黏著物材料被沈積於水平表面而非垂直表面。例如,非等向沈積方法可為一準直PVD方法,於其中第一金屬材料的方向朝下,並實質平行於示例性半導體結構的垂直方向。或者,非等向性沈積方法可運用射頻物理氣相沈積 (RFPVD)濺鍍及/或使用常壓基板偏壓,例如:常壓偏壓施加於基板上。沈積速率係取決於入射粒子的入射角度,導致在開口415的底表面415b比側壁415s具有較高的沈積速率。於一些實施方式中,金屬黏著物180由金屬合金製成。在一些其它實施方式中,金屬黏著物180由矽(Si)、硼(B)、矽化鎢(WSix)、硼化鎢(WBx)、硼化鎢矽(WSixB)或它們的任意組合所製成。
由於金屬黏著物180係用非等向沈積製程所形成,金屬黏著物180係沈積於開口415的底表面415b上,並且實質上暴露出開口415的側壁415s。在一些實施方式中,金屬黏著物180之一厚度T係約為1埃至20埃。
請參照第2D圖。一金屬插塞420被形成於開口415內的其餘部分,並且位於金屬黏著物180上。於一些實施方式中,金屬插塞420包含鎢(W)。例如,一金屬層係利用ALD、PVD、CVD或其他適合的製程所沈積以填充開口415。接著,金屬層係被光阻圖案化並且回蝕刻以定義金屬插塞420。由於鎢對於矽、硼、矽化鎢、硼化鎢、硼化鎢矽或其組合具有良好的附著力,鎢可以容易地附著至金屬黏著物180。因此,開口415的其餘部分係被由下而上填充,而不會留下孔洞,孔洞會降低元件良率並且造成信賴度的問題,例如於信賴度測試時的分層以及電致遷移。在一些其他實施方式中,金屬插塞420包含鋁(Al)、銅(Cu)或其他適合的導電材料。
於第2A圖至第2D圖,在金屬插塞420被形成前,金屬黏著物180係形成於開口415的底表面415b上。由於金屬黏著物180係非等向地形成於開口415內,金屬黏著物180形成 於開口415的底部。金屬黏著物180可附著於金屬插塞420的金屬材料。因此,金屬插塞420可以由下而上的方式被形成。金屬黏著物180使得金屬插塞420在剩餘的開口415內具有較佳的填充特性,進而藉由使金屬對剩餘開口415填充容易,造成連續並且無孔洞的金屬插塞420,例如用於形成金屬插塞420而不會留下未填充孔洞的鎢或鎢的化合物。金屬黏著物180可由矽(Si)、硼(B)、矽化鎢(WSix)、硼化鎢(WBx)、硼化鎢矽(WSixB)或它們的任意組合所製成。於一些實施方式中,金屬黏著物180之厚度T係約為1埃至20埃。在一些其他實施方式中,金屬插塞可為一形成於層間介電層(ILD)的插塞。
依據本揭露的一些實施方式,一種半導體裝置包含一基板、至少一層、一金屬黏著物以及一金屬結構。層係沈積於基板上。層具有一開口,且開口具有一底表面以至少一側壁。金屬黏著物係設置於開口之底表面上,並且暴露出開口之側壁之至少一部分。金屬結構係設置於開口內並位於金屬黏著物上。
依據本揭露的一些實施方式,一種製造一半導體裝置的方法包含形成至少一層於一基板上。層具有一開口,且開口具有一底表面以至少一側壁。一金屬黏著物被形成以覆蓋開口之底表面,並且暴露出開口之側壁之至少一部分。一金屬結構係形成於開口內並位於金屬黏著物上。
依據本揭露的一些實施方式,一種製造一半導體裝置的方法包含形成一層於一基板上。層具有一開口。一金 屬黏著物被非等向性地形成於開口內。一金屬結構係形成於開口內並位於金屬黏著物上。
雖然本發明已以實施方式揭露如上,然其並非用以限定本發明,任何熟習此技藝者,在不脫離本發明之精神和範圍內,當可作各種之更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
110‧‧‧基板
120‧‧‧界面層
130‧‧‧側壁物
140‧‧‧介電層
150’‧‧‧高介電係數值介電層
155’‧‧‧覆蓋層
160’、170’‧‧‧阻障層
165’‧‧‧功函數金屬層
175‧‧‧開口
175b‧‧‧底表面
175s‧‧‧側壁
180‧‧‧金屬黏著物
T‧‧‧厚度

Claims (6)

  1. 一種半導體裝置,包含:一基板;一金屬結構;一金屬黏著物,設置於該金屬結構與該基板之間,其中該金屬黏著物由矽(Si)、硼(B)、矽化鎢(WSix)、硼化鎢(WBx)、硼化鎢矽(WSixB)或其組合所製成;一第一阻障層,具有一第一部分與至少一第二部分,該第一部分接觸該金屬黏著物的一底,且該第二部分接觸該金屬黏著物的側壁與該金屬結構的側壁,其中該金屬黏著物由與該第一阻障層不同的材料製成;以及一高介電係數值介電層,包圍該第一阻障層。
  2. 如請求項1所述之半導體裝置,其中該金屬結構由鎢(W)所製成。
  3. 一種製造一半導體裝置之方法,包含:形成一對側壁物於一基板上,其中該對側壁物定義一開口於該對側壁物之間;形成一高介電係數值介電層於該開口的一底與側壁上;形成一第一阻障層於該高介電係數值介電層上;形成一金屬黏著物於該開口內與該第一阻障層上,其中該金屬黏著物由與該第一阻障層不同的材料製成,且其 中該金屬黏著物由矽(Si)、硼(B)、矽化鎢(WSix)、硼化鎢(WBx)、硼化鎢矽(WSixB)或其組合所製成;以及形成一金屬結構於該開口內並接觸該金屬黏著物與該第一阻障層。
  4. 如請求項3所述之方法,其中該金屬黏著物利用物理氣相沈積(PVD)製程所形成。
  5. 如請求項3所述之方法,其中該金屬結構由鎢(W)所製成。
  6. 一種製造一半導體裝置之方法,包含:形成一介電層於一基板上;形成一高介電係數值介電層於該介電層上;形成一第一阻障層於該高介電係數值介電層上;形成一金屬黏著物以接觸該第一阻障層,其中該金屬黏著物由與該第一阻障層不同的材料製成,且其中該金屬黏著物由矽(Si)、硼(B)、矽化鎢(WSix)、硼化鎢(WBx)、硼化鎢矽(WSixB)或其組合所製成;以及形成一金屬結構以接觸該金屬黏著物與該第一阻障層。
TW104132889A 2015-06-29 2015-10-06 半導體裝置及其製造方法 TWI701727B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/754,427 2015-06-29
US14/754,427 US10861701B2 (en) 2015-06-29 2015-06-29 Semiconductor device and manufacturing method thereof

Publications (2)

Publication Number Publication Date
TW201701335A TW201701335A (zh) 2017-01-01
TWI701727B true TWI701727B (zh) 2020-08-11

Family

ID=57536926

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104132889A TWI701727B (zh) 2015-06-29 2015-10-06 半導體裝置及其製造方法

Country Status (5)

Country Link
US (2) US10861701B2 (zh)
KR (1) KR101730727B1 (zh)
CN (1) CN106298931B (zh)
DE (1) DE102015111257B4 (zh)
TW (1) TWI701727B (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10490649B2 (en) 2017-05-30 2019-11-26 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating semiconductor device with adhesion layer
TWI730119B (zh) * 2017-06-09 2021-06-11 聯華電子股份有限公司 具有金屬閘極之半導體元件之製作方法
TW202401727A (zh) 2017-11-30 2024-01-01 美商英特爾股份有限公司 用於先進積體電路結構製造之異質金屬線組成
CN108777261A (zh) * 2018-06-07 2018-11-09 上海华力集成电路制造有限公司 一种晶体管的栅极结构及其制造方法
US10998421B2 (en) 2018-07-16 2021-05-04 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing pattern loading in the etch-back of metal gate
US10658190B2 (en) * 2018-09-24 2020-05-19 International Business Machines Corporation Extreme ultraviolet lithography patterning with directional deposition
KR102275458B1 (ko) * 2018-11-30 2021-07-13 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 전기화학 도금 시스템 및 사용 방법
US11183431B2 (en) * 2019-09-05 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11177137B2 (en) * 2020-01-17 2021-11-16 Taiwan Semiconductor Manufacturing Company, Ltd. Wafer etching process and methods thereof
US11342434B2 (en) * 2020-05-29 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11670547B2 (en) 2021-01-15 2023-06-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor arrangement and method of making
US12014955B2 (en) 2022-01-12 2024-06-18 Nanya Technology Corporation Method for fabricating conductive layer stack and method for fabricating semiconductor device with gate contact
TW202329245A (zh) * 2022-01-12 2023-07-16 南亞科技股份有限公司 具有閘極接觸點之導電層堆疊的製備方法
US11876051B2 (en) 2022-01-12 2024-01-16 Nanya Technology Corporation Conductive layer stack and semiconductor device with a gate contact
CN117238848B (zh) * 2023-11-15 2024-02-02 合肥晶合集成电路股份有限公司 一种接触孔结构及其形成方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW386298B (en) * 1998-05-07 2000-04-01 Ind Tech Res Inst Process for forming selectivity tungsten CVD (chemical vapor deposition) plug having RTA (rapid thermal anneal) self-align tungsten silicide barrier
US20130280900A1 (en) * 2012-04-24 2013-10-24 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US20140131809A1 (en) * 2012-11-14 2014-05-15 Global Foundries Inc. Replacement metal gate structure for cmos device
US20150171179A1 (en) * 2010-01-07 2015-06-18 Hitachi Kokusai Electric Inc. Semiconductor device having electrode made of high work function material and method of manufacturing the same

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100306372B1 (ko) 1998-06-29 2001-10-19 박종섭 반도체소자의 게이트전극 형성방법
US6326297B1 (en) 1999-09-30 2001-12-04 Novellus Systems, Inc. Method of making a tungsten nitride barrier layer with improved adhesion and stability using a silicon layer
US6284636B1 (en) * 2000-01-21 2001-09-04 Advanced Micro Devices, Inc. Tungsten gate method and apparatus
KR20010096408A (ko) 2000-04-11 2001-11-07 이경수 금속 배선 형성방법
WO2001078123A1 (en) 2000-04-11 2001-10-18 Genitech Co., Ltd. Method of forming metal interconnects
US6844591B1 (en) * 2003-09-17 2005-01-18 Micron Technology, Inc. Method of forming DRAM access transistors
US7126199B2 (en) 2004-09-27 2006-10-24 Intel Corporation Multilayer metal gate electrode
US20070281456A1 (en) 2006-05-30 2007-12-06 Hynix Semiconductor Inc. Method of forming line of semiconductor device
KR100890047B1 (ko) * 2007-06-28 2009-03-25 주식회사 하이닉스반도체 반도체소자의 배선 형성방법
US8835294B2 (en) * 2010-03-16 2014-09-16 Taiwan Semiconductor Manufacturing Company, Ltd. Method for improving thermal stability of metal gate
KR101781620B1 (ko) * 2010-09-01 2017-09-25 삼성전자주식회사 모오스 트랜지스터의 제조방법
US8569135B2 (en) 2011-07-20 2013-10-29 International Business Machines Corporation Replacement gate electrode with planar work function material layers
US9755039B2 (en) 2011-07-28 2017-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having a metal gate electrode stack
US9698229B2 (en) 2012-01-17 2017-07-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8987126B2 (en) * 2012-05-09 2015-03-24 GlobalFoundries, Inc. Integrated circuit and method for fabricating the same having a replacement gate structure
US8778789B2 (en) 2012-11-30 2014-07-15 GlobalFoundries, Inc. Methods for fabricating integrated circuits having low resistance metal gate structures
CN103972149B (zh) * 2013-01-30 2016-08-10 中芯国际集成电路制造(上海)有限公司 金属填充沟槽的方法
KR20140121634A (ko) * 2013-04-08 2014-10-16 삼성전자주식회사 반도체 장치 및 그 제조 방법
CN104218000B (zh) * 2013-06-05 2017-06-13 中芯国际集成电路制造(上海)有限公司 晶体管及其形成方法
US9012319B1 (en) * 2013-11-01 2015-04-21 Globalfoundries Inc. Methods of forming gate structures with multiple work functions and the resulting products
KR102155511B1 (ko) * 2013-12-27 2020-09-15 삼성전자 주식회사 반도체 장치 및 그 제조 방법
US9385030B2 (en) * 2014-04-30 2016-07-05 Globalfoundries Inc. Spacer to prevent source-drain contact encroachment

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW386298B (en) * 1998-05-07 2000-04-01 Ind Tech Res Inst Process for forming selectivity tungsten CVD (chemical vapor deposition) plug having RTA (rapid thermal anneal) self-align tungsten silicide barrier
US20150171179A1 (en) * 2010-01-07 2015-06-18 Hitachi Kokusai Electric Inc. Semiconductor device having electrode made of high work function material and method of manufacturing the same
US20130280900A1 (en) * 2012-04-24 2013-10-24 United Microelectronics Corp. Manufacturing method for semiconductor device having metal gate
US20140131809A1 (en) * 2012-11-14 2014-05-15 Global Foundries Inc. Replacement metal gate structure for cmos device

Also Published As

Publication number Publication date
US10861701B2 (en) 2020-12-08
KR101730727B1 (ko) 2017-04-26
DE102015111257B4 (de) 2021-11-25
DE102015111257A1 (de) 2016-12-29
CN106298931B (zh) 2022-09-16
KR20170002261A (ko) 2017-01-06
US20190115220A1 (en) 2019-04-18
CN106298931A (zh) 2017-01-04
US20160380066A1 (en) 2016-12-29
US10957545B2 (en) 2021-03-23
TW201701335A (zh) 2017-01-01

Similar Documents

Publication Publication Date Title
TWI701727B (zh) 半導體裝置及其製造方法
TWI692104B (zh) 半導體裝置與其製作方法
TWI698938B (zh) 半導體結構及其製造方法
US11289646B2 (en) Method of forming a bottom electrode of a magnetoresistive random access memory cell
US10262894B2 (en) FinFET device and method for forming the same
TW201916156A (zh) 半導體裝置及其形成方法
TW201729346A (zh) 切割金屬閘極之方法
US10535653B2 (en) Semiconductor structure
TWI582904B (zh) 半導體裝置及其形成方法
US10115796B2 (en) Method of pulling-back sidewall metal layer
US20220352318A1 (en) Integrated Circuit Structure With Non-Gated Well Tap Cell
CN107204278B (zh) 在材料层中形成开口的方法
US9728407B2 (en) Method of forming features with various dimensions
CN106971975A (zh) 半导体装置的制造方法
CN111276543B (zh) 制造半导体器件的方法
TWI511205B (zh) 半導體積體電路的形成方法
TWI730024B (zh) 半導體裝置之形成方法