TWI701700B - 用於基板處理腔室的陶瓷塗覆的石英蓋體 - Google Patents

用於基板處理腔室的陶瓷塗覆的石英蓋體 Download PDF

Info

Publication number
TWI701700B
TWI701700B TW106113904A TW106113904A TWI701700B TW I701700 B TWI701700 B TW I701700B TW 106113904 A TW106113904 A TW 106113904A TW 106113904 A TW106113904 A TW 106113904A TW I701700 B TWI701700 B TW I701700B
Authority
TW
Taiwan
Prior art keywords
cover
mol
percent
section
cover according
Prior art date
Application number
TW106113904A
Other languages
English (en)
Other versions
TW201740424A (zh
Inventor
柏納L 黃
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201740424A publication Critical patent/TW201740424A/zh
Application granted granted Critical
Publication of TWI701700B publication Critical patent/TWI701700B/zh

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

本揭示內容的實施方式包括用於降低處理腔室內的顆粒產生的方法及設備。在一個實施方式中,本發明提供一種用於基板處理腔室的蓋體。蓋體包括:蓋構件,該蓋構件具有第一表面及與第一表面相對的第二表面;穿過該蓋構件的中央開口,其中該中央開口的內輪廓包括具有第一直徑的第一段、具有第二直徑的第二段及具有第三直徑的第三段,其中第二直徑是在第一直徑與第三直徑之間,且第一直徑從第二段朝向蓋構件的第一表面增加;以及溝槽,該溝槽沿著第一表面中的封閉路徑形成且具有形成在溝槽的內表面中的凹槽。

Description

用於基板處理腔室的陶瓷塗覆的石英蓋體
本揭示內容的實施方式大體係關於一種半導體處理系統。更特定言之,本揭示內容的實施方式係關於用於在半導體處理系統中使用的蓋體。
積體電路已發展成可在單個晶片上包括幾百萬個部件(例如,電晶體、電容器及電阻器)的複雜裝置。晶片設計的發展持續需要更快的電路及更大的電路密度。對於更大電路密度的要求迫使積體電路部件的尺寸減小。
隨著積體電路部件的尺寸減小(例如,減小至亞微米尺寸),降低污染物存在的重要性已增加,因為此種污染物可能在半導體製造製程期間導致缺陷形成。例如,在蝕刻製程中,例如可能在蝕刻製程期間產生的聚合體的副產物可能變成污染在半導體基板上形成的積體電路及結構的微粒來源。
在半導體材料處理領域中,例如為了在基板上蝕刻及化學氣相沉積(chemical vapor deposition;CVD)各種材料,使用了包括真空處理腔室的半導體材料處理設備。該等製程中的一些製程在此類處理腔室中使用腐蝕性及侵蝕性製程氣體及電漿,諸如氫電漿。需要最小化在此類處理腔室中處理的基板的顆粒污染。亦需要當設備暴露於此類氣體及電漿時抗化學侵蝕的此類設備的電漿暴露部件。
本揭示內容的實施方式包括用於降低處理腔室內的顆粒產生的方法及設備。在一個實施方式中,本發明提供一種用於基板處理腔室的蓋體。蓋體包括:蓋構件,該蓋構件具有第一表面及與第一表面相對的第二表面;穿過該蓋構件的中央開口,其中該中央開口的內輪廓包括具有第一直徑的第一段、具有第二直徑的第二段及具有第三直徑的第三段,其中第二直徑是在第一直徑及第三直徑之間,且第一直徑從第二段朝向蓋構件的第一表面增加;以及溝槽,該溝槽沿著第一表面中的封閉路徑形成且具有形成在溝槽的內表面中的凹槽。
在另一個實施方式中,提供一種處理腔室。處理腔室包括主體、設置在主體內的基板支撐組件,及覆蓋該主體的蓋體。蓋體包括:板材,該板材具有第一表面及與該第一表面相對的第二表面;穿過該板材的中央開口,其中該中央開口的一部分具有朝向第一表面增加的內徑;及溝槽,該溝槽形成在該第一表面中。處理腔室亦包括氣體耦合插件(gas coupling insert),該氣體耦合插件設置在中央開口內且具有經成形以匹配中央開口的內徑的漸縮形(tapered)凸緣。
在又另一個實施方式中,提供了一種用於降低處理腔室內的顆粒產生的方法。該方法包括:提供腔室蓋體,該腔室蓋體具有頂表面及平行於該頂表面的底表面,其中腔室蓋體具有中央開口,且該中央開口的上部具有朝向頂表面逐漸增加的內徑;噴砂處理(bead blasting)腔室蓋體的底表面;在腔室蓋體的底表面上沉積塗層,其中該塗層包含含氧化釔的陶瓷或含釔氧化物;在中央開口內設置間隔環,其中該間隔環具有被成形以匹配中央開口的內徑的外表面;及在間隔環上設置氣體耦合插件,其中該氣體耦合插件是圓柱形中空主體,該圓柱形中空主體具有形成在氣體耦合插件底部中的複數個軸向通孔,且該氣體耦合插件具有從圓柱形中空主體的外表面向外延伸的圓錐形(conical)凸緣,且其中該圓錐形凸緣被成形以匹配中央開口的內徑。
圖1圖示根據本揭示內容的一個實施方式的處理腔室100的示意截面圖。處理腔室100可以是電漿製程腔室,諸如可從加利福尼亞聖克拉拉市的應用材料公司(Applied Materials, Inc., Santa Clara, California)購得的電感耦合電漿(inductively coupled plasma; ICP)處理腔室或DPN HD處理腔室。處理腔室100可以是典型地用作多腔室模組化系統(未圖示)的一部分的類型的全自動半導體電漿處理腔室。如圖1中所示,處理腔室100包括主體115、蓋體108,及設置在主體115內的基板支撐組件107。主體115、蓋體108及基板支撐組件107實質上界定處理容積110。處理容積110可經配置以容納基板120,該基板具有高達12英寸(300 mm)、18英寸(450 mm),或其他直徑的公稱直徑(nominal diameter)大小。
處理腔室100包括電漿電源102及匹配網路101。電漿電源102及匹配網路101與電力產生設備連通。電力產生設備可被收容在設置於主體115上的第一外殼111內。電漿電源102及匹配網路101以典型地在約12 MHz至約13.5 MHz之間的範圍內的頻率操作。若需要,電漿電源102可以高達60 MHz的頻率操作。在各種實施方式中,電漿電源102可以在從約0.1 kW至約5 kW的範圍內的功率操作。電感線圈104、106可位於設置在主體115及第一外殼111之間的第二外殼113內。當電感線圈104、106由電漿電源102通電時,該等電感線圈在處理容積110中產生射頻(RF)電場,該射頻電場可從處理容積110中的氣體形成電漿。電漿隨後可用於對基板120執行電漿處理。
蓋體108包括蓋構件,該蓋構件可以是具有適於接收氣體耦合插件114的中央開口的板材。氣體耦合插件114可包括具有複數個軸向通孔(圖4中所示)的圓柱形中空主體,該複數個軸向通孔形成在圓柱形中空主體的底部中。氣體連接器156可被設置在蓋體108上。處理氣體(未圖示)被引入氣體連接器156至氣體耦合插件114的通孔,該通孔在處理容積110中提供均勻的控制氣流分配。下文將針對圖2A至圖2C論述蓋體108的進一步細節。
存在於主體115內的處理容積110與非處理容積117流體連通。非處理容積117與節流閥119流體連通。節流閥119與排氣系統131連通,該排氣系統可包括渦輪泵116及粗抽泵(roughing pump)126,該渦輪泵及粗抽泵全部與節流閥119流體連通。廢氣可從節流閥119順序地流動穿過渦輪泵116及粗抽泵126。在操作中,電漿源氣體被提供至處理容積110且處理副產物穿過節流閥119及排氣系統131從處理容積110排出。
在主體115中形成基板進入埠112以促進基板120進入處理腔室100及從處理腔室100移除。基板支撐組件107被設置在主體115內以在處理期間支撐基板120。基板支撐組件107可以是機械或靜電卡盤,其中基板支撐組件107的至少一部分是導電的且能夠用作製程偏壓電極。流體導管124可被耦接至基板支撐組件107以將該基板支撐組件的溫度維持在所需範圍下。設置在基板支撐組件107上的基板可藉由複數個升降銷(未圖示)升高或降低以促進將基板傳遞至基板支撐組件107上,或者將基板傳遞離開基板支撐組件107。
遮蔽環150可鄰近於限制基板支撐組件107的周邊區域的邊緣環152而設置。邊緣環152以在邊緣環152及遮蔽環150之間界定空腔(cavity)161的方式形成。空腔161界定受限的流動路徑,該流動路徑允許電漿以遠離於基板斜角的方向流動且被穿過空腔161從處理腔室抽出至粗抽泵126,而不是在基板斜角或背側上積聚及形成殘餘膜層。
控制器190可包括中央處理單元(central processing unit; CPU) 192、記憶體194,及支援電路196,該中央處理單元、記憶體及支援電路用於控制處理順序及調節在處理腔室100中執行的氣體流動及電漿處理。CPU 192可以是可用於工業環境中的任何形式的通用電腦處理器。諸如如下所述的蝕刻製程的軟體常式可存儲在記憶體194中,該記憶體為諸如隨機存取記憶體、唯讀記憶體、軟碟或硬碟驅動器,或其他形式的數字儲存器。支援電路196通常被耦接至CPU 192且可包括快取、時鐘電路、輸入/輸出系統、電源等等。控制器190及處理腔室100的各種部件之間的雙向通信是經由統稱為信號匯流排198的許多信號電纜來處理,該等信號匯流排中的一些匯流排在圖1中圖示。
基板120可藉由經由耦接至基板支撐組件107的匹配網路121從射頻偏壓電源122提供射頻功率而偏置。由射頻偏壓電源122提供的射頻功率可在100 kHz至13.56 MHz的範圍內,諸如在100 kHz至2 MHz的範圍內。電漿電源102及基板射頻偏壓電源122是由控制器190獨立地控制。
圖2A圖示根據本揭示內容的一個實施方式的腔室蓋體208的俯視圖。蓋體208可用於代替圖1中圖示的蓋體108。圖2B圖示沿著圖2A的線A-A獲取的蓋體208的示意截面圖。蓋體208被密封地設置在圖1的主體115之上以在處理腔室100內部提供用於真空處理的封閉環境。蓋體208可以可移除地耦接至主體115的一部分。取決於處理腔室100或配置處理腔室100所針對的製程,蓋體208可被成形為如圖2B中所示的板材,或圓頂。如圖2A中所示,蓋體208是具有中央開口202的平坦圓板材。溝槽210可沿著蓋體208的第一表面204中的封閉路徑形成。如圖4中將更詳細地論述,溝槽210可被成形以形成凸緣211,該凸緣被調整尺寸以固定上夾具420及一對下夾具422a、422b。上夾具420及下夾具422a、422b一起將氣體連接器156及氣體耦合插件114相對於蓋體208固定就位。
參照圖2B,蓋體208具有第一表面204及第二表面206,該第一表面可以是平面,該第二表面亦可以是平面。第二表面206與第一表面204相對。第一表面204具有直徑「D1」且第二表面206具有直徑「D2」。對於300 mm基板,直徑「D1」可為約19吋至約24吋,且直徑「D2」可以是約18吋至約23吋。蓋體208可具有形成在蓋體208周邊中的凹口212,以便第一表面204的直徑「D1」大於第二表面206的直徑「D2」。凹口212可具有厚度「T」且溝槽210可具有大於厚度「T」的深度「T1」。溝槽210的深度「T1」短於蓋體208的厚度「T2」。深度「T1」可為約0.7吋至約1.2吋。深度「T2」可為約1吋至約1.5吋。
蓋體208可由各種透明材料形成,諸如石英或藍寶石。在一個實施方式中,蓋體208是由火焰拋光的石英製成且可具有在約2埃與約150埃之間,例如在約5埃與約50埃之間的平均表面粗糙度平均值。或者,僅蓋體208的第二表面206被火焰拋光。在另一個實施方式中,蓋體208是由非火焰拋光的石英製成。在任一情況下,蓋體208可被塗佈有陶瓷塗層214以保護蓋體208在基板處理期間不受含氫電漿的影響,該陶瓷塗層為諸如含氧化釔的陶瓷或其他含釔氧化物,該含氫電漿為諸如由H2 氣體形成的電漿。陶瓷塗層214可被塗佈在蓋體208的第二表面206上。若需要,陶瓷塗層可被塗敷於蓋體208的暴露表面,或塗敷於蓋體208的所有表面。
在一個實施方式中,蓋體208完全地塗佈有陶瓷塗層。在一個實施方式中,陶瓷塗層214被均勻地塗佈在第二表面206上且具有直徑「D3」。直徑「D3」可以是約17吋至約22吋。陶瓷塗層214的直徑「D3」略微小於第二表面206的直徑「D2」,使得第二表面206周圍的周邊區域216無陶瓷塗層214,以便陶瓷塗層214不干涉接觸第二表面206的周邊區域216的其他腔室部件。在一個實施方式中,周邊區域216是從第二表面206的邊緣起量測的約1吋寬度。陶瓷塗層214不進入中央開口202。
陶瓷塗層214可使用熱噴塗技術,例如電漿噴塗技術來塗敷。熱噴塗技術可以噴霧形式熔化、汽化、離子化或以其他噴塗方式傳遞材料(例如,陶瓷粉末),並且以促進經由機械、熱或化學黏附製程在第二表面206上形成塗層的方式將材料噴塗至蓋體208的第二表面206上。熱噴塗或電漿噴塗陶瓷塗層可具有約0.001吋至約0.100吋,諸如約0.005吋至約0.050吋,例如約0.010吋±0.001吋的厚度。在蓋體208由非火焰拋光石英製成的情況下,第二表面206可在塗佈之前例如藉由噴砂處理而粗糙化。第二表面206的粗糙化可用於活化第二表面206以促進陶瓷塗層214至蓋體208上的較好黏附。在蓋體208是由火焰拋光石英製成的情況下,第二表面206可在陶瓷塗層沉積在第二表面206上之後經由噴砂處理而粗糙化,以增強陶瓷塗層至第二表面206上的黏附。
在可與本揭示內容中揭示的任何其他實施方式結合的一個實施方式中,陶瓷塗層214是一種高效能材料(high performance material; HPM),該材料可由Y2 O3 、Al2 O3 及ZrO2 的生陶瓷粉末產生。在一個示例性實例中,陶瓷塗層214可由在約45莫耳百分比與約100莫耳百分比之間的範圍中的Y2 O3 、在約0莫耳百分比與約55莫耳百分比之間的範圍中的ZrO2 ,及在約0莫耳百分比至約10莫耳百分比之間的範圍中的Al2 O3 形成。在一個示例性實例中,陶瓷塗層214可由在約30莫耳百分比與約60莫耳百分比之間的範圍中的Y2 O3 、在約0莫耳百分比與約20莫耳百分比之間的範圍中的ZrO2 ,及在約30莫耳百分比至約60莫耳百分比之間的範圍中的Al2 O3 形成。
在可與本揭示內容中揭示的任何其他實施方式結合的一個實施方式中,陶瓷塗層214是由至少一種化合物Yx Zry Alz O組成。陶瓷塗層214可具有橫跨其厚度的分級的組成。在一個示例性實例中,陶瓷塗層214可包含Y2 O3 、ZrO2 及Al2 O3 ,該Y2 O3 具有從約40莫耳百分比至約85莫耳百分比,例如從約50莫耳百分比至約75莫耳百分比逐漸變化的莫耳濃度;該ZrO2 具有從約5莫耳百分比至約60莫耳百分比,例如從約10莫耳百分比至約30莫耳百分比逐漸變化的莫耳濃度;該Al2 O3 具有從約5莫耳百分比至約50莫耳百分比,例如從約10莫耳百分比至約30莫耳百分比逐漸變化的莫耳濃度。在另一個示例性實例中,陶瓷塗層214可含有Y2 O3 、ZrO2 及Al2 O3 ,該Y2 O3 具有從約55莫耳百分比至約65莫耳百分比逐漸變化的莫耳濃度,該ZrO2 具有從10莫耳百分比至約25莫耳百分比逐漸變化的莫耳濃度,且該Al2 O3 具有從10莫耳百分比至約20莫耳百分比逐漸變化的莫耳濃度。在另一個示例性實例中,陶瓷塗層214可含有Y2 O3 、ZrO2 及Al2 O3 ,該Y2 O3 具有從約55莫耳百分比至約65莫耳百分比逐漸變化的莫耳濃度,該ZrO2 具有從20莫耳百分比至約25莫耳百分比逐漸變化的莫耳濃度,且該Al2 O3 具有從5莫耳百分比至約10莫耳百分比逐漸變化的莫耳濃度。
在可與本揭示內容中揭示的任何其他實施方式結合的一個實施方式中,陶瓷塗層214是由化合物Y4 Al2 O9 及固溶體(solid solution)Y2-x Zrx O3 (Y2 O3 -ZrO2 固溶體)組成的HPM材料。在一個示例性實例中,HPM陶瓷包含77%的Y2 O3 、15%的ZrO2 及8%的Al2 O3 。在另一個示例性實例中,HPM陶瓷包含63%的Y2 O3 、23%的ZrO2 及14%的Al2 O3 。在又另一個示例性實例中,HPM陶瓷包含55%的Y2 O3 、20%的ZrO2 及25%的Al2 O3 。相對百分比可以莫耳比率為單位。例如,HPM陶瓷可包含77莫耳百分比的Y2 O3 、15莫耳百分比的ZrO2 及8莫耳百分比的Al2 O3 。該等陶瓷粉末的其他分配亦可用於HPM材料。陶瓷塗層214提供在H2 化學作用下的高抗腐蝕性、低孔隙度及抗腐蝕性的顯著提高。可以預期的是,本文所述的百分比或莫耳比率可取決於應用而變化。
圖2C是圖2B中的圓圈「B」的放大截面圖。如圖2C中所示,中央開口202的內輪廓可被分為具有不同內部直徑的三段。亦即,該三段為上段222、底段226及夾在上段222及底段226之間的中段224。上段222向上展開以便上段222的內表面228沿著一方向延伸,該方向相對於垂直穿過蓋體208的中心軸「C1」成「θ」角度。角度「θ」可以在約30°及約60°之間,例如約45°。作為此展開輪廓的結果,蓋體208在中央開口202的上段222周圍形成凸緣211。如將關於圖4在下文中更詳細地論述,上段222被成形以匹配氣體耦合插件114的圓錐形或漸縮形凸緣414。
上段222具有內徑「D4」,該內徑從中段224的內徑「D5」朝向蓋體208的第一表面204逐漸增加。底段226可具有內徑「D6」,該內徑相對小於中段224的內徑「D5」。對於300 mm基板,內徑「D4」可以是約1.7吋至約2.5吋。內徑「D5」可以是約1.4吋至約1.8吋。內徑「D6」可以是約1.2吋至約1.6吋。上段222可具有深度「T3」,而中段224可具有比深度「T3」更大或更小的深度「T4」。深度「T3」可為約0.15吋至約0.4吋。深度「T4」可為約0.3吋至0.5吋。底段226的轉角242、244可被圓整化。
溝槽210沿著蓋體208的第一表面204中的封閉路徑形成。溝槽210可以是環形或任何其他閉環圖案。溝槽210可具有平行於中心軸「C1」的內表面230。溝槽210在內表面230及中央開口202之間界定壁。溝槽210可具有深度「T5」,該深度「T5」大於上段222的深度「T3」。深度「T5」可小於深度「T3」及「T4」的組合。深度「T5」可以是約0.4吋至約0.8吋。
凹口或凹槽234可在溝槽210的內表面230上形成。凹口或凹槽234可被斜切以便內表面230的一部分沿著相對於溝槽210的底表面236呈角度「β」的方向延伸,該角度「β」在約15°與約45°之間,例如約30°。形成第一表面204的一部分的溝槽210的內邊緣232、233可被圓整化。類似地,溝槽210的底部轉角238、240可被圓整化。
溝槽210可具有內徑「D7」及大於內徑「D7」的外徑「D8」。位於凹口或凹槽234內的內表面230的一部分具有直徑「D9」,該直徑「D9」小於溝槽210的內徑「D7」。直徑「D9」相對大於上段222的內徑「D4」。內徑「D7」可以是約2.6吋至約3.5吋。外徑「D8」可以是約4吋至約5.5吋。直徑「D9」可以是約2.2吋至約3.2吋。
圖3A圖示根據本揭示內容的一個實施方式的間隔環302的俯視圖。圖3B圖示沿著圖3A的線A-A獲取的間隔環302的示意截面圖。圖3C圖示圖3A的間隔環302的透視圖。間隔環302可以可移除地設置在圖1中所示的蓋體108及氣體耦合插件114之間。間隔環302使塗敷至氣體耦合插件114的暴露表面上的陶瓷塗層免於因摩擦蓋體108而消耗,否則此舉將另外產生污染處理腔室的顆粒。如圖3A中所示,間隔環302具有被調整大小以允許氣體耦合插件114的一部分穿過的中心開口304(圖1)。間隔環302具有垂直地穿過間隔環302的中心軸「C2」。間隔環302與蓋體208同軸。
間隔環302的內表面306實質上遵循上段222的輪廓的外形(圖2C)。在一個實施方式中,間隔環302具有通常中空的截頭圓錐形狀(frusto-conical shape),如圖3C中所示。間隔環302可被調整大小以藉由重力或任何適當的機械方式放置在蓋體208的上段222上。一旦間隔環302已被設置在蓋體208的上段222上,氣體耦合插件114(圖1)就隨後被設置在間隔環302上。其後,氣體連接器156(圖1)被設置在蓋體208上以將氣體耦合插件114固定在蓋體208的中央開口202內。
間隔環302的外表面308可在相對於間隔環302的頂表面310呈角度「α」的方向上延伸。角度「α」可以在約30°至約60°之間,例如約45°。間隔環302可具有高度「H」,該高度「H」實質上對應於圖2C中所示的上段222的深度「T3」。間隔環302可具有約0.020吋至約0.1吋,例如約0.045吋的厚度「T」。間隔環302可具有從頂表面310的邊緣量測的外徑「D10」。外徑「D10」可對應於圖2C中所示的蓋體208的上段222的內徑「D4」。
間隔環302可由具有優異的機械特性及耐熱性的聚亞醯胺、彈性體,或任何其他適當的材料製成。
圖4是圖2C的放大截面圖,圖示設置在蓋體208的中央開口202內的氣體耦合插件114。氣體耦合插件114可以是圓柱形中空主體,該圓柱形中空主體具有圍繞圓柱形中空主體的側壁406形成的複數個通孔404。氣體耦合插件114亦可具有形成在圓柱形中空主體的底部410中的複數個軸向通孔408。間隔環302被插置在蓋體208與氣體耦合插件114之間。
氣體耦合插件114可具有從圓柱形中空主體的外表面416向外延伸的圓錐形或漸縮形凸緣414。圓錐形或漸縮形凸緣414可被設置在氣體耦合插件114的上端周圍。圓錐形或漸縮形凸緣414實質上遵循上段222的輪廓的外形。陶瓷塗層,諸如上文論述的陶瓷塗層214,可被沉積在圓錐形或漸縮形凸緣414的暴露表面上。
一旦氣體耦合插件114被設置在蓋體208的中央開口202內,圓錐形或漸縮形凸緣414就藉由重力或任何適當的機械方式放置在間隔環302的內表面306上,使得圓柱形中空主體的其餘部分伸出間隔環302的底部。圓錐形或漸縮形凸緣414之下的圓柱形中空主體的部分貫穿中段224及底段226延伸至蓋體208的第二表面206之下的一距離處。
氣體連接器156被設置在蓋體208上。氣體連接器156徑向地覆蓋氣體耦合插件114的頂表面418及第一表面204的一部分,而不完全地覆蓋溝槽210。如上文針對圖2B及圖2C論述的溝槽210經成形以圍繞中央開口202的上段222周圍提供凸緣211。溝槽210接收一對下凸緣422a、422b。特別地,溝槽210使用凸緣211以固定上凸緣420及該對下凸緣422a、422b。上凸緣420及該對下凸緣422a、422b可經由螺釘或任何其他適當機械機構耦接在一起。上凸緣420被成形以收容氣體連接器156。因此,上夾具420及下夾具422a、422b經由使用凸緣211將氣體連接器156及氣體耦合插件114相對於蓋體208固定就位。在處理期間,處理腔室中的低壓將下吸氣體連接器156以將該等部件進一步保持就位。處理源氣體(未圖示)穿過進氣口412引入氣體連接器156且隨後引入圓柱形中空主體。處理氣體流經氣體耦合插件114的通孔404及軸向通孔408,從而在處理容積110中提供均勻受控的氣流分佈(圖1)。
綜上所述,本揭示內容的實施方式提供了用於在半導體處理系統中使用的改善的蓋體。氣體耦合插件114的圓錐形或漸縮形凸緣414及蓋體208上段的相應展開輪廓允許氣體耦合插件114及蓋體208之間的平滑接觸。因為在蓋體208的中央開口202內不存在硬角度(hard angle),所以可以最小化或避免在氣體耦合插件114的維護、安裝或移除期間的氣體耦合插件114及/或蓋體208的任何不期望的剝落及開裂。由聚亞醯胺製成的間隔環被設置在腔室蓋及供氣插件之間以使供氣插件上的陶瓷塗層免於因摩擦腔室蓋體而消耗。結果,由於被認為是顆粒污染來源的圓錐形或漸縮形凸緣414與蓋體208之間的接觸表面由間隔環302所緩衝,所以在氣體耦合插件114與蓋體208之間的界面處的顆粒產生極大地降低。
儘管上述內容是針對本揭示內容的實施方式,但是在不背離本揭示內容的基本範疇的情況下,可設計本揭示內容的其他及進一步實施方式,且本揭示內容的範疇是由所附的申請專利範圍來確定的。
100‧‧‧處理腔室101‧‧‧匹配網路102‧‧‧電漿電源104‧‧‧電感線圈106‧‧‧電感線圈107‧‧‧基板支撐組件108‧‧‧蓋體110‧‧‧處理容積111‧‧‧第一外殼112‧‧‧基板進入埠113‧‧‧第二外殼114‧‧‧氣體耦合插件115‧‧‧主體116‧‧‧渦輪泵117‧‧‧非處理容積119‧‧‧節流閥120‧‧‧基板121‧‧‧匹配網路122‧‧‧射頻偏壓電源124‧‧‧流體導管126‧‧‧粗抽泵131‧‧‧排氣系統150‧‧‧遮蔽環152‧‧‧邊緣環156‧‧‧氣體連接器161‧‧‧空腔190‧‧‧控制器192‧‧‧中央處理單元(CPU)194‧‧‧記憶體196‧‧‧支援電路198‧‧‧信號匯流排202‧‧‧中央開口204‧‧‧第一主表面206‧‧‧第二主表面208‧‧‧蓋體210‧‧‧溝槽211‧‧‧凸緣212‧‧‧凹口214‧‧‧陶瓷塗層216‧‧‧周邊區域222‧‧‧上段224‧‧‧中段226‧‧‧底段228‧‧‧內表面230‧‧‧內表面232‧‧‧內邊緣233‧‧‧內邊緣234‧‧‧凹槽236‧‧‧底表面238‧‧‧底部轉角240‧‧‧底部轉角242‧‧‧轉角244‧‧‧轉角302‧‧‧間隔環304‧‧‧中心開口306‧‧‧內表面308‧‧‧外表面310‧‧‧頂表面404‧‧‧孔406‧‧‧側壁408‧‧‧孔412‧‧‧進氣口414‧‧‧漸縮凸緣416‧‧‧外表面418‧‧‧上表面420‧‧‧上夾具420a‧‧‧下夾具420b‧‧‧下夾具422a‧‧‧下夾具422b‧‧‧下夾具
以上簡要總結的本揭示內容的上述記載的特徵可詳細理解的方式,本揭示內容的更特定的描述可參考實施方式獲得,該實施方式的一些實施方式圖示在附圖中。
圖1圖示根據本揭示內容的一個實施方式的處理腔室的示意截面圖。
圖2A圖示根據本揭示內容的一個實施方式的蓋體的俯視圖。
圖2B圖示沿著圖2A的線A-A獲取的蓋體的示意截面圖。
圖2C是圖2B中的圓圈「B」的放大截面圖。
圖3A圖示根據本揭示內容的一個實施方式的間隔環的俯視圖。
圖3B圖示沿著圖3A的線A-A獲取的間隔環的示意截面圖。
圖3C圖示圖3A的間隔環的透視圖。
圖4是圖2C的放大截面圖,圖示設置在蓋體的中央開口內的氣體耦合插件。
然而,應將注意的是,附圖僅圖示本揭示內容的典型實施方式且因此不視為本揭示內容範疇的限制,因為本揭示內容可允許其他等效的實施方式。
為了促進理解,在儘可能的情況下,已使用相同的參考符號指示對諸圖共同的相同元件。
國內寄存資訊 (請依寄存機構、日期、號碼順序註記) 無
國外寄存資訊 (請依寄存國家、機構、日期、號碼順序註記) 無
202‧‧‧中央開口
204‧‧‧第一主表面
206‧‧‧第二主表面
208‧‧‧蓋體
210‧‧‧溝槽
211‧‧‧凸緣
212‧‧‧凹口
214‧‧‧陶瓷塗層
216‧‧‧周邊區域

Claims (20)

  1. 一種用於一基板處理腔室的蓋體,包含:一蓋構件,該蓋構件具有一第一表面及與該第一表面相對的一第二表面;一塗層,設置在該第二表面上,其中該塗層包含氧化釔,及氧化鋯、氧化鋁、或上述項目的組合中的至少一者;一中央開口,位在該蓋構件中,其中該中央開口的一內輪廓包含具有一第一內徑的一第一段、具有一第二內徑的一第二段,及具有一第三內徑的一第三段,其中該第二段被設置在該第一段與該第三段之間,且該第一內徑從該第二段朝向該蓋構件的該第一表面逐漸增加;及一溝槽,環繞該中央開口,該溝槽沿著該蓋構件的該第一表面中的一封閉路徑形成,該溝槽提供形成在該溝槽的一內表面中的一凹槽。
  2. 如請求項1所述之蓋體,其中該第一段具有相對於該中央開口的一中心軸成角度的一內表面。
  3. 如請求項2所述之蓋體,其中該第一段的該內表面的該角度在約30°與約60°之間。
  4. 如請求項1所述之蓋體,其中該凹槽具有一斜角,該斜角沿著相對於該溝槽的一底表面的一方向 以一角度延伸,該角度在約15°與約45°之間。
  5. 如請求項1所述之蓋體,其中該蓋構件是由火焰拋光的石英製成。
  6. 如請求項1所述之蓋體,其中該蓋構件由石英製成且該蓋構件的該第二表面被火焰拋光。
  7. 如請求項1所述之蓋體,其中該蓋構件是單塊的。
  8. 如請求項1所述之蓋體,其中該塗層具有約0.001吋至約0.100吋的一厚度。
  9. 如請求項6所述之蓋體,其中該蓋構件的該第二表面具有在約2埃與約150埃之間的一平均表面粗糙度。
  10. 如請求項1所述之蓋體,其中該蓋構件是由非火焰拋光的石英製成。
  11. 如請求項1所述之蓋體,其中該塗層具有約0.005吋至約0.050吋的一厚度。
  12. 如請求項1所述之蓋體,其中設置在該第二表面上的該塗層包含氧化釔、氧化鋯、及氧化鋁。
  13. 如請求項12所述之蓋體,其中該塗層包含約40莫耳百分比至約85莫耳百分比的氧化釔、約5莫耳百分比至約60莫耳百分比的氧化鋯、及約5莫耳百分比至約50莫耳百分比的氧化鋁。
  14. 如請求項12所述之蓋體,其中該塗層包含約50莫耳百分比至約75莫耳百分比的氧化釔、約10莫耳百分比至約30莫耳百分比的氧化鋯、及約10莫耳百分比至約30莫耳百分比的氧化鋁。
  15. 如請求項12所述之蓋體,其中該塗層包含約55莫耳百分比至約65莫耳百分比的氧化釔、約10莫耳百分比至約25莫耳百分比的氧化鋯、及約10莫耳百分比至約20莫耳百分比的氧化鋁。
  16. 如請求項12所述之蓋體,其中該塗層包含約55莫耳百分比至約65莫耳百分比的氧化釔、約20莫耳百分比至約25莫耳百分比的氧化鋯、及約5莫耳百分比至約10莫耳百分比的氧化鋁。
  17. 如請求項1所述之蓋體,其中設置在該第二表面上的該塗層包含氧化釔及氧化鋁。
  18. 如請求項17所述之蓋體,其中該塗層包含約30莫耳百分比至約60莫耳百分比的氧化釔及約30莫耳百分比至約60莫耳百分比的氧化鋁。
  19. 如請求項1所述之蓋體,其中設置在該第二表面上的該塗層包含氧化釔及氧化鋯。
  20. 如請求項1所述之蓋體,其中設置在該第二表面上的該塗層包含一陶瓷材料,該陶瓷材料包含具有Y4Al2O9的一配方的一化合物以及Y2O3與 ZrO2的一固溶體。
TW106113904A 2016-04-28 2017-04-26 用於基板處理腔室的陶瓷塗覆的石英蓋體 TWI701700B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201662328686P 2016-04-28 2016-04-28
US62/328,686 2016-04-28

Publications (2)

Publication Number Publication Date
TW201740424A TW201740424A (zh) 2017-11-16
TWI701700B true TWI701700B (zh) 2020-08-11

Family

ID=60158828

Family Applications (3)

Application Number Title Priority Date Filing Date
TW106113904A TWI701700B (zh) 2016-04-28 2017-04-26 用於基板處理腔室的陶瓷塗覆的石英蓋體
TW106205829U TWM559322U (zh) 2016-04-28 2017-04-26 用於處理腔室的陶瓷塗覆的石英蓋體
TW109123009A TWI752545B (zh) 2016-04-28 2017-04-26 使用陶瓷塗覆的石英蓋體的基板處理腔室及方法

Family Applications After (2)

Application Number Title Priority Date Filing Date
TW106205829U TWM559322U (zh) 2016-04-28 2017-04-26 用於處理腔室的陶瓷塗覆的石英蓋體
TW109123009A TWI752545B (zh) 2016-04-28 2017-04-26 使用陶瓷塗覆的石英蓋體的基板處理腔室及方法

Country Status (5)

Country Link
US (3) US11017984B2 (zh)
JP (2) JP6998129B2 (zh)
KR (2) KR102376942B1 (zh)
CN (4) CN107574421B (zh)
TW (3) TWI701700B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
JP6987021B2 (ja) * 2018-05-28 2021-12-22 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
US11326256B2 (en) * 2018-12-10 2022-05-10 Applied Materials, Inc. Dome stress isolating layer
CN114536158B (zh) * 2022-01-19 2023-03-31 宁波云德半导体材料有限公司 一种刻蚀机反应腔的石英窗的加工方法
CN116145248B (zh) * 2023-04-24 2023-07-25 苏州长光华芯光电技术股份有限公司 一种半导体外延方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US20080282979A1 (en) * 2007-05-18 2008-11-20 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
TW201334020A (zh) * 2011-10-21 2013-08-16 Lam Res Corp 具備有刻紋的抗電漿塗層之電漿處理室的元件
US20130284700A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
TW201350209A (zh) * 2012-04-27 2013-12-16 Applied Materials Inc 用於關鍵腔室組件的電漿噴灑塗佈製程改良
US20140262034A1 (en) * 2011-10-07 2014-09-18 Tokyo Electron Limited Plasma processing apparatus
US20150087162A1 (en) * 2012-05-18 2015-03-26 Tokyo Electron Limited Plasma processing apparatus and plasma processing method

Family Cites Families (36)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US6170428B1 (en) 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US5886315A (en) 1997-08-01 1999-03-23 Hypertherm, Inc. Blow forward contact start plasma arc torch with distributed nozzle support
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100443772B1 (ko) 2002-01-16 2004-08-09 삼성전자주식회사 코팅 처리된 기재
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
JP3613472B2 (ja) * 2002-03-29 2005-01-26 信越石英株式会社 プラズマエッチング装置用部材及びその製造方法
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
JP4213482B2 (ja) 2003-02-07 2009-01-21 東京エレクトロン株式会社 プラズマ処理装置
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP4361811B2 (ja) 2004-01-09 2009-11-11 東京エレクトロン株式会社 半導体製造装置
JPWO2006001253A1 (ja) 2004-06-25 2008-07-31 国立大学法人京都大学 プラズマ処理装置
US7685965B1 (en) 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US7942969B2 (en) * 2007-05-30 2011-05-17 Applied Materials, Inc. Substrate cleaning chamber and components
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
JP5444860B2 (ja) * 2008-06-24 2014-03-19 三菱マテリアル株式会社 多結晶シリコン製造装置
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8425977B2 (en) * 2008-09-29 2013-04-23 Applied Materials, Inc. Substrate processing chamber with off-center gas delivery funnel
SG162642A1 (en) 2009-01-06 2010-07-29 Frontken Singapore Pte Ltd Techniques for maintaining a substrate processing system
CN102763198B (zh) * 2009-09-25 2015-05-06 应用材料公司 感应耦合等离子体反应器中的高效气体离解的方法和设备
US9540731B2 (en) 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
US20120052216A1 (en) 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US20130277203A1 (en) * 2012-04-24 2013-10-24 Applied Materials, Inc. Process kit shield and physical vapor deposition chamber having same
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
KR101598465B1 (ko) 2014-09-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 방법
KR20170015615A (ko) * 2015-07-29 2017-02-09 삼성전자주식회사 플라즈마 처리 장치
US11017984B2 (en) * 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US20080282979A1 (en) * 2007-05-18 2008-11-20 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
US20140262034A1 (en) * 2011-10-07 2014-09-18 Tokyo Electron Limited Plasma processing apparatus
TW201334020A (zh) * 2011-10-21 2013-08-16 Lam Res Corp 具備有刻紋的抗電漿塗層之電漿處理室的元件
US20130284700A1 (en) * 2012-04-26 2013-10-31 Applied Materials, Inc. Proportional and uniform controlled gas flow delivery for dry plasma etch apparatus
TW201350209A (zh) * 2012-04-27 2013-12-16 Applied Materials Inc 用於關鍵腔室組件的電漿噴灑塗佈製程改良
US20150087162A1 (en) * 2012-05-18 2015-03-26 Tokyo Electron Limited Plasma processing apparatus and plasma processing method

Also Published As

Publication number Publication date
KR102457581B1 (ko) 2022-10-21
US20230057432A1 (en) 2023-02-23
CN107574421B (zh) 2021-10-22
CN107574421A (zh) 2018-01-12
CN107342208B (zh) 2021-07-23
JP7284245B2 (ja) 2023-05-30
KR20220038637A (ko) 2022-03-29
TW202042271A (zh) 2020-11-16
JP6998129B2 (ja) 2022-02-04
US20170314124A1 (en) 2017-11-02
CN107342208A (zh) 2017-11-10
KR20170123254A (ko) 2017-11-07
US20210272774A1 (en) 2021-09-02
US11017984B2 (en) 2021-05-25
KR102376942B1 (ko) 2022-03-21
JP2017224804A (ja) 2017-12-21
TWI752545B (zh) 2022-01-11
JP2022058374A (ja) 2022-04-12
TW201740424A (zh) 2017-11-16
CN113611587A (zh) 2021-11-05
CN113611587B (zh) 2024-03-19
US11521830B2 (en) 2022-12-06
CN207834248U (zh) 2018-09-07
TWM559322U (zh) 2018-05-01

Similar Documents

Publication Publication Date Title
TWI701700B (zh) 用於基板處理腔室的陶瓷塗覆的石英蓋體
CN102969215B (zh) 用于等离子处理腔室的低倾斜度边缘环
JP2021068909A (ja) Icpプラズマ処理チャンバ内における高収率・基板最端部欠陥低減のための単一リング設計
CN108242381B (zh) 气体供给装置及其制造方法以及等离子体处理装置
WO2015172549A1 (zh) 反应腔室及半导体加工设备
US10515843B2 (en) Amalgamated cover ring
TWI808459B (zh) 電漿處理裝置及其氣體噴淋環的製作方法
US20220328293A1 (en) Isolator for processing chambers
TW202242166A (zh) 減少物理氣相沉積(pvd)腔室中之顆粒的方法
US20160155657A1 (en) Surface profile modifications for extended life of consumable parts in semiconductor processing equipment
JP2006339678A (ja) プラズマ処理装置及び電極部材
US20220389584A1 (en) Shower head, electrode unit, gas supply unit, substrate processing apparatus, and substrate processing system
TWI810683B (zh) 半導體處理腔室的覆蓋晶圓
TW202034364A (zh) 噴淋頭及氣體處理裝置
TW202205348A (zh) 邊緣環及電漿處理裝置
JP2022185570A (ja) シャワーヘッド、電極ユニット、ガス供給ユニット、基板処理装置及び基板処理システム