KR20170123254A - 처리 챔버를 위한 세라믹 코팅된 석영 리드 - Google Patents

처리 챔버를 위한 세라믹 코팅된 석영 리드 Download PDF

Info

Publication number
KR20170123254A
KR20170123254A KR1020170052858A KR20170052858A KR20170123254A KR 20170123254 A KR20170123254 A KR 20170123254A KR 1020170052858 A KR1020170052858 A KR 1020170052858A KR 20170052858 A KR20170052858 A KR 20170052858A KR 20170123254 A KR20170123254 A KR 20170123254A
Authority
KR
South Korea
Prior art keywords
diameter
cover member
section
trench
central opening
Prior art date
Application number
KR1020170052858A
Other languages
English (en)
Other versions
KR102376942B1 (ko
Inventor
버나드 엘. 황
Original Assignee
어플라이드 머티어리얼스, 인코포레이티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 어플라이드 머티어리얼스, 인코포레이티드 filed Critical 어플라이드 머티어리얼스, 인코포레이티드
Publication of KR20170123254A publication Critical patent/KR20170123254A/ko
Priority to KR1020220032750A priority Critical patent/KR102457581B1/ko
Application granted granted Critical
Publication of KR102376942B1 publication Critical patent/KR102376942B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/02274Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition in the presence of a plasma [PECVD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • H01L21/67069Apparatus for fluid treatment for etching for drying etching
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/4401Means for minimising impurities, e.g. dust, moisture or residual gas, in the reaction chamber
    • C23C16/4404Coatings or surface treatment on the inside of the reaction chamber or on parts thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45587Mechanical means for changing the gas flow
    • C23C16/45591Fixed means, e.g. wings, baffles
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/458Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for supporting substrates in the reaction chamber
    • C23C16/4582Rigid and flat substrates, e.g. plates or discs
    • C23C16/4583Rigid and flat substrates, e.g. plates or discs the substrate being supported substantially horizontally
    • C23C16/4585Devices at or outside the perimeter of the substrate support, e.g. clamping rings, shrouds
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/04Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the coating material
    • C23C4/10Oxides, borides, carbides, nitrides or silicides; Mixtures thereof
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C4/00Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge
    • C23C4/12Coating by spraying the coating material in the molten state, e.g. by flame, plasma or electric discharge characterised by the method of spraying
    • C23C4/134Plasma spraying
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32009Arrangements for generation of plasma specially adapted for examination or treatment of objects, e.g. plasma sources
    • H01J37/32082Radio frequency generated discharge
    • H01J37/321Radio frequency generated discharge the radio frequency energy being inductively coupled to the plasma
    • H01J37/32119Windows
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32467Material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32431Constructional details of the reactor
    • H01J37/32458Vessel
    • H01J37/32477Vessel characterised by the means for protecting vessels or internal parts, e.g. coatings
    • H01J37/32495Means for protecting the vessel against plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02296Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer
    • H01L21/02299Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment
    • H01L21/02312Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour
    • H01L21/02315Forming insulating materials on a substrate characterised by the treatment performed before or after the formation of the layer pre-treatment treatment by exposure to a gas or vapour treatment by exposure to a plasma
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/50Assembly of semiconductor devices using processes or apparatus not provided for in a single one of the subgroups H01L21/06 - H01L21/326, e.g. sealing of a cap to a base of a container
    • H01L21/56Encapsulations, e.g. encapsulation layers, coatings
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67017Apparatus for fluid treatment
    • H01L21/67063Apparatus for fluid treatment for etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/683Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for supporting or gripping
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/332Coating
    • H01J2237/3321CVD [Chemical Vapor Deposition]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J2237/00Discharge tubes exposing object to beam, e.g. for analysis treatment, etching, imaging
    • H01J2237/32Processing objects by plasma generation
    • H01J2237/33Processing objects by plasma generation characterised by the type of processing
    • H01J2237/334Etching

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Analytical Chemistry (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Inorganic Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)

Abstract

본 개시내용의 구현예들은 처리 챔버 내에서의 입자 발생을 감소시키기 위해 이용되는 방법들 및 장치들을 포함한다. 일 구현예에서, 기판 처리 챔버를 위한 리드가 제공된다. 리드는, 제1 표면 및 제1 표면에 대향하는 제2 표면을 갖는 커버 부재; 커버 부재를 관통하는 중앙 개구 - 중앙 개구의 내측 프로파일은 제1 직경을 갖는 제1 섹션, 제2 직경을 갖는 제2 섹션, 및 제3 직경을 갖는 제3 섹션을 포함하고, 제2 직경은 제1 직경과 제3 직경 사이에 있고, 제1 직경은 제2 섹션으로부터 커버 부재의 제1 표면을 향하여 증가함 -; 및 제1 표면에서 폐쇄 경로를 따라 형성된 트렌치 - 트렌치는 트렌치의 내측 표면에 형성된 리세스를 가짐 - 를 포함한다.

Description

처리 챔버를 위한 세라믹 코팅된 석영 리드{CERAMIC COATED QUARTZ LID FOR PROCESSING CHAMBER}
본 개시내용의 구현예들은 일반적으로 반도체 처리 시스템들에 관한 것이다. 더 구체적으로는, 본 개시내용의 구현예들은 반도체 처리 시스템에서 이용하기 위한 리드에 관한 것이다.
집적 회로들은 단일 칩 상에 수백만 개의 컴포넌트(예를 들어, 트랜지스터, 커패시터 및 저항기)를 포함할 수 있는 복합 디바이스들(complex devices)로 진화해왔다. 칩 설계들의 진화는 더 빠른 회로 및 더 큰 회로 밀도를 계속해서 요구한다. 더 큰 회로 밀도에 대한 요구들은 집적 회로 컴포넌트들의 치수들의 감소를 필요로 한다.
집적 회로 컴포넌트들의 치수들이 (예를 들어, 서브-미크론 치수들로) 감소됨에 따라, 오염물질의 존재를 감소시키는 것의 중요성이 증가했는데, 왜냐하면 이러한 오염물질은 반도체 제조 프로세스 동안 결함들의 형성을 초래할 수 있기 때문이다. 예를 들어, 에칭 프로세스에서, 에칭 프로세스 동안 발생될 수 있는 부산물들, 예를 들어 폴리머들은 반도체 기판들 상에 형성된 집적 회로들 및 구조물들을 오염시키는 미립자들의 소스가 될 수 있다.
반도체 재료 처리의 분야에서, 예를 들어 기판들 상의 다양한 재료들의 화학 기상 증착(CVD) 및 에칭을 위해, 진공 처리 챔버들을 포함하는 반도체 재료 처리 장치들이 이용된다. 이러한 프로세스들 중 일부는 이러한 처리 챔버들에서 부식성(corrosive) 및 침식성(erosive) 프로세스 가스들 및 플라즈마, 예컨대 수소 플라즈마를 이용한다. 이러한 처리 챔버들에서 처리되는 기판들의 입자 오염을 최소화할 필요가 있다. 또한, 이러한 장치들의 플라즈마 노출된 컴포넌트들이 이러한 가스들 및 플라즈마에 노출될 때 화학적 공격에 대해 저항성이 있을 필요가 있다.
본 개시내용의 구현예들은 처리 챔버 내에서의 입자 발생을 감소시키기 위해 이용되는 방법들 및 장치들을 포함한다. 일 구현예에서, 기판 처리 챔버를 위한 리드가 제공된다. 리드는, 제1 표면 및 제1 표면에 대향하는 제2 표면을 갖는 커버 부재; 커버 부재를 관통하는 중앙 개구 - 중앙 개구의 내측 프로파일은 제1 직경을 갖는 제1 섹션, 제2 직경을 갖는 제2 섹션, 및 제3 직경을 갖는 제3 섹션을 포함하고, 제2 직경은 제1 직경과 제3 직경 사이에 있고, 제1 직경은 제2 섹션으로부터 커버 부재의 제1 표면을 향하여 증가함 -; 및 제1 표면에서 폐쇄 경로를 따라 형성된 트렌치 - 트렌치는 트렌치의 내측 표면에 형성된 리세스를 가짐 - 를 포함한다.
다른 구현예에서, 처리 챔버가 제공된다. 처리 챔버는 바디; 바디 내에 배치된 기판 지지체 어셈블리; 및 바디를 커버하는 리드를 포함한다. 리드는, 제1 표면 및 제1 표면에 대향하는 제2 표면을 갖는 플레이트; 플레이트를 관통하는 중앙 개구 - 중앙 개구의 일부는 제1 표면을 향하여 증가하는 내측 직경을 가짐 -; 및 제1 표면에 형성된 트렌치를 포함한다. 처리 챔버는, 중앙 개구 내에 배치되며, 중앙 개구의 내측 직경에 매칭하도록 성형된 테이퍼형 플랜지(tapered flange)를 갖는 가스 커플링 인서트(gas coupling insert)를 또한 포함한다.
또 다른 구현예에서, 처리 챔버 내에서의 입자 발생을 감소시키기 위한 방법이 제공된다. 이 방법은, 최상부 표면 및 최상부 표면에 평행한 최하부 표면을 갖는 챔버 리드를 제공하는 단계 - 챔버 리드는 중앙 개구를 갖고, 중앙 개구의 상부 부분은 최상부 표면을 향하여 점진적으로 증가하는 내측 직경을 가짐 -; 챔버 리드의 최하부 표면을 비드 블라스팅(bead blasting)하는 단계; 챔버 리드의 최하부 표면 상에 코팅을 퇴적하는 단계 - 코팅은 이트륨 산화물 함유 세라믹 또는 이트륨 함유 산화물을 포함함 -; 중앙 개구 내에 스페이서 링을 배치하는 단계 - 스페이서 링은 중앙 개구의 내측 직경에 매칭하도록 성형된 외측 표면을 가짐 -; 및 스페이서 링 상에 가스 커플링 인서트를 배치하는 단계를 포함하고, 가스 커플링 인서트는 가스 커플링 인서트의 최하부에 형성된 복수의 축방향 관통 홀(axial through holes)을 갖는 원통형 중공 바디(cylindrical hollow body)이고, 가스 커플링 인서트는 원통형 중공 바디의 외측 표면으로부터 외측으로 연장되는 원추형 플랜지(conical flange)를 갖고, 원추형 플랜지는 중앙 개구의 내측 직경에 매칭하도록 성형된다.
위에서 언급된 본 개시내용의 특징들이 상세하게 이해될 수 있도록, 위에 간략하게 요약된 본 개시내용의 더 구체적인 설명은 구현예들을 참조할 수 있으며, 그들 중 일부는 첨부 도면들에 예시되어 있다.
도 1은 본 개시내용의 일 구현예에 따른 처리 챔버의 개략적인 단면도를 도시한다.
도 2a는 본 개시내용의 일 구현예에 따른 리드의 상부도를 도시한다.
도 2b는 도 2a의 라인 A-A를 따라 취해진 리드의 개략적인 단면도를 도시한다.
도 2c는 도 2b의 원 "B"의 확대 단면도이다.
도 3a는 본 개시내용의 일 구현예에 따른 스페이서 링의 상부도를 도시한다.
도 3b는 도 3a의 라인 A-A를 따라 취해진 스페이서 링의 개략적인 단면도를 도시한다.
도 3c는 도 3a의 스페이서 링의 사시도를 도시한다.
도 4는 리드의 중앙 개구 내에 배치된 가스 커플링 인서트를 도시하는 도 2c의 확대 단면도이다.
그러나, 본 개시내용은 동등한 효과의 다른 구현예들을 허용할 수 있으므로, 첨부 도면들은 본 개시내용의 전형적인 구현예들만을 예시하며, 따라서 그것의 범위를 제한하는 것으로 고려되어서는 안 된다는 점에 유의해야 한다.
이해를 용이하게 하기 위해서, 가능한 경우에, 도면들에 공통인 동일한 요소들을 지시하는 데에 동일한 참조 번호들이 이용되었다.
도 1은 본 개시내용의 일 구현예에 따른 처리 챔버(100)의 개략적인 단면도를 도시한다. 처리 챔버(100)는, 캘리포니아주 산타 클라라에 있는 Applied Materials, Inc.로부터 입수가능한 DPN HD 처리 챔버 또는 유도 결합된 플라즈마(inductively coupled plasma)(ICP) 처리 챔버와 같은 플라즈마 프로세스 챔버일 수 있다. 처리 챔버(100)는 멀티 챔버 모듈러 시스템(도시되지 않음)의 일부로서 전형적으로 이용되는 종류의 완전 자동화 반도체 플라즈마 처리 챔버일 수 있다. 도 1에 도시된 바와 같이, 처리 챔버(100)는 바디(115), 리드(108), 및 바디(115) 내에 배치된 기판 지지체 어셈블리(107)를 포함한다. 바디(115), 리드(108) 및 기판 지지체 어셈블리(107)는 처리 용적(110)을 실질적으로 정의한다. 처리 용적(110)은 최대 12 인치(300mm), 18 인치(450mm) 또는 다른 직경의 공칭 직경 크기를 갖는 기판(120)을 수용하도록 구성될 수 있다.
처리 챔버(100)는 플라즈마 전력 소스(102) 및 정합 네트워크(101)를 포함한다. 플라즈마 전력 소스(102) 및 정합 네트워크(101)는 전력 발생 장치와 통신한다. 전력 발생 장치는 바디(115) 상에 배치된 제1 인클로저(111) 내에 하우징될 수 있다. 플라즈마 전력 소스(102) 및 정합 네트워크(101)는 전형적으로 약 12MHz 내지 약 13.5MHz의 범위 내의 주파수에서 동작한다. 원하는 경우, 플라즈마 전력 소스(102)는 최대 60MHz의 주파수에서 동작될 수 있다. 다양한 구현예들에서, 플라즈마 전력 소스(102)는 약 0.1kW 내지 약 5kW의 범위의 전력에서 동작될 수 있다. 바디(115)와 제1 인클로저(111) 사이에 배치된 제2 인클로저(113) 내에 유도 코일들(104, 106)이 위치될 수 있다. 플라즈마 전력 소스(102)에 의해 에너지 공급될(energized) 때, 유도 코일들(104, 106)은 처리 용적(110)에서의 가스로부터 플라즈마를 형성할 수 있는 RF 필드를 처리 용적(110)에서 발생시킨다. 다음에, 플라즈마는 기판(120) 상에서 플라즈마 프로세스를 수행하기 위해 이용될 수 있다.
리드(108)는 가스 커플링 인서트(114)를 수용하도록 되어 있는 중앙 개구를 갖는 커버 부재(플레이트일 수 있음)를 포함한다. 가스 커플링 인서트(114)는 원통형 중공 바디를 포함할 수 있고, 원통형 중공 바디는 원통형 중공 바디의 최하부에 형성된 복수의 축방향 관통 홀(도 4에 도시됨)을 갖는다. 가스 커넥터(156)가 리드(108) 상에 배치될 수 있다. 처리 가스(도시되지 않음)가 가스 커플링 인서트(114)의 관통 홀들에 대한 가스 커넥터(156) 내로 도입되고, 이 관통 홀들은 처리 용적(110)에서 균일한 제어된 가스 유동 분배를 제공한다. 리드(108)의 추가 상세가 도 2a 내지 도 2c와 관련하여 아래에 논의될 것이다.
바디(115) 내에 존재하는 처리 용적(110)은 비-처리 용적(117)과 유체 소통한다. 비-처리 용적(117)은 스로틀 밸브(119)와 유체 소통한다. 스로틀 밸브(119)는 터보 펌프(116)와 러핑 펌프(roughing pump)(126)를 포함할 수 있는 배기 시스템(131)과 소통하고, 펌프들 모두는 스로틀 밸브(119)와 유체 소통한다. 배기 가스들은 스로틀 밸브(119)로부터 순차적으로 터보 펌프(116) 및 러핑 펌프(126)를 통해 유동할 수 있다. 동작 시에, 플라즈마 소스 가스는 처리 용적(110)에 제공되고, 처리 부산물들은 처리 용적(110)으로부터 스로틀 밸브(119) 및 배기 시스템(131)을 통해 배기된다.
처리 챔버(100)로의 기판(120)의 진입 및 처리 챔버로부터의 기판의 제거를 용이하게 하기 위해 바디(115)에 기판 진입 포트(112)가 형성된다. 기판 지지체 어셈블리(107)는 처리 동안 기판(120)을 지지하기 위해 바디(115) 내에 배치된다. 기판 지지체 어셈블리(107)는 기계적 또는 정전 척일 수 있고, 기판 지지체 어셈블리(107)의 적어도 일부는 전기 전도성이고, 프로세스 바이어스 전극의 역할을 할 수 있다. 유체 도관(124)이 기판 지지체 어셈블리(107)에 결합되어, 기판 지지체 어셈블리의 온도를 원하는 범위로 유지할 수 있다. 기판 지지체 어셈블리(107) 상에 배치된 기판들은 기판 지지체 어셈블리(107) 상으로의 기판의 이송 및 기판 지지체 어셈블리로부터의 기판의 이송을 용이하게 하기 위해 복수의 리프트 핀(도시되지 않음)에 의해 상승 및 하강될 수 있다.
쉐도우 링(150)이 기판 지지체 어셈블리(107)의 주변부 영역을 둘러싸는 에지 링(152)에 인접하여 배치될 수 있다. 에지 링(152)은 에지 링(152)과 쉐도우 링(150) 사이에 공동(161)을 정의하는 방식으로 성형된다. 공동(161)은, 플라즈마가 기판 베벨 또는 후면 상에 축적되어 잔류 막 층을 형성하는 것보다는 기판 베벨로부터 멀어지는 방향으로 유동하여, 처리 챔버로부터 공동(161)을 통해 러핑 펌프(126)로 펌핑되는 것을 허용하는 제약된 유동 경로(constrained flow path)를 정의한다.
제어기(190)는, 프로세스 시퀀스를 제어하고 처리 챔버(100)에서 수행되는 플라즈마 프로세스 및 가스 유동들을 조절하기 위해 이용되는 중앙 처리 유닛(CPU)(192), 메모리(194) 및 지원 회로(196)를 포함할 수 있다. CPU(192)는 산업 세팅에서 이용될 수 있는 임의의 형태의 범용 컴퓨터 프로세서일 수 있다. 아래에 설명되는 에칭 프로세스와 같은 소프트웨어 루틴들은 메모리(194), 예컨대 랜덤 액세스 메모리, 판독 전용 메모리, 플로피 또는 하드 디스크 드라이브, 또는 다른 형태의 디지털 스토리지에 저장될 수 있다. 지원 회로(196)는 통상적으로 CPU(192)에 결합되며, 캐시, 클록 회로들, 입력/출력 시스템들, 전력 공급부들 등을 포함할 수 있다. 제어기(190)와 처리 챔버(100)의 다양한 컴포넌트들 사이의 양방향 통신은 신호 버스들(198)이라고 집합적으로 지칭되는 다수의 신호 케이블을 통해 핸들링되고, 신호 버스들 중 일부는 도 1에 예시되어 있다.
기판(120)은 기판 지지체 어셈블리(107)에 결합된 정합 네트워크(121)를 통해 RF 바이어스 전력 소스(122)로부터 RF 전력을 제공함으로써 바이어싱될 수 있다. RF 바이어스 전력 소스(122)에 의해 제공되는 RF 전력은 100kHz 내지 13.56MHz의 범위 내에, 예컨대 100kHz 내지 2MHz의 범위 내에 있을 수 있다. 플라즈마 전력 소스(102) 및 기판 RF 바이어스 전력 소스(122)는 제어기(190)에 의해 독립적으로 제어된다.
도 2a는 본 개시내용의 일 구현예에 따른 챔버 리드(208)의 상부도를 도시한다. 리드(208)는 도 1에 도시된 리드(108)를 대신하여 이용될 수 있다. 도 2b는 도 2a의 라인 A-A를 따라 취해진 리드(208)의 개략적인 단면도를 도시한다. 리드(208)는 진공 처리를 위해 처리 챔버(100) 내부에 인클로징된 환경(enclosed environment)을 제공하도록 도 1의 바디(115) 위에 밀봉식으로 배치된다. 리드(208)는 바디(115)의 일부에 제거가능하게 결합될 수 있다. 리드(208)는, 처리 챔버(100), 또는 처리 챔버(100)가 구성되는 프로세스에 종속하여, 도 2b에 도시된 바와 같은 플레이트 또는 돔으로서 성형될 수 있다. 도 2a에 도시된 바와 같이, 리드(208)는 중앙 개구(202)를 갖는 평탄한 원형 플레이트이다. 트렌치(210)가 리드(208)의 제1 표면(204)에서 폐쇄 경로를 따라 형성될 수 있다. 도 4에서 더 상세하게 논의되는 바와 같이, 트렌치(210)는, 상부 클램프(420) 및 한 쌍의 하부 클램프(422a, 422b)를 고정하기 위한 크기를 갖는 플랜지(211)를 형성하도록 성형될 수 있다. 상부 클램프(420) 및 하부 클램프들(422a, 422b)은 가스 커넥터(156) 및 가스 커플링 인서트(114)를 리드(208)에 대하여 제자리에 함께 고정한다.
도 2b를 참조하면, 리드(208)는 평면일 수 있는 제1 표면(204), 및 또한 평면일 수 있는 제2 표면(206)을 갖는다. 제2 표면(206)은 제1 표면(204)에 대향한다. 제1 표면(204)은 직경 "D1"을 갖고, 제2 표면(206)은 직경 "D2"를 갖는다. 300mm 기판에 대해, 직경 "D1"은 약 19 인치 내지 약 24 인치일 수 있고, 직경 "D2"는 약 18 인치 내지 약 23 인치일 수 있다. 리드(208)는, 제1 표면(204)의 직경 "D1"이 제2 표면(206)의 직경 "D2"보다 크도록 리드(208)의 주변부에 형성된 노치(212)를 가질 수 있다. 노치(212)는 두께 "T"를 가질 수 있고, 트렌치(210)는 두께 "T"보다 큰 깊이 "T1"을 가질 수 있다. 트렌치(210)의 깊이 "T1"은 리드(208)의 두께 "T2"보다 짧다. 깊이 "T1"은 약 0.7 인치 내지 약 1.2 인치일 수 있다. 깊이 "T2"는 약 1 인치 내지 약 1.5 인치일 수 있다.
리드(208)는 석영 또는 사파이어와 같은 다양한 투명한 재료들로 형성될 수 있다. 일 구현예에서, 리드(208)는 불꽃 연마된 석영(flame polished quartz)으로 이루어지고, 약 2 옹스트롬 내지 약 150 옹스트롬, 예를 들어 약 5 옹스트롬 내지 약 50 옹스트롬의 평균 표면 조도를 가질 수 있다. 대안적으로, 리드(208)의 제2 표면(206)만이 불꽃 연마된다. 다른 구현예에서, 리드(208)는 비-불꽃 연마된 석영(non-flame polished quartz)으로 이루어진다. 어느 경우에도, 리드(208)는, 기판의 처리 동안 리드(208)를 수소 함유 플라즈마, 예컨대 H2 가스로부터 형성된 플라즈마로부터 보호하기 위해 세라믹 코팅(214), 예컨대 이트륨 산화물 함유 세라믹 또는 다른 이트륨 함유 산화물로 코팅될 수 있다. 세라믹 코팅(214)은 리드(208)의 제2 표면(206) 상에 코팅될 수 있다. 원하는 경우, 세라믹 코팅은 리드(208)의 노출된 표면들에 또는 리드(208)의 모든 표면들에 도포될 수 있다.
일 구현예에서, 리드(208)는 세라믹 코팅으로 완전하게 코팅된다. 일 구현예에서, 세라믹 코팅(214)은 제2 표면(206) 상에 균일하게 코팅되고, 직경 "D3"을 갖는다. 직경 "D3"은 약 17 인치 내지 약 22 인치일 수 있다. 세라믹 코팅(214)의 직경 "D3"은 제2 표면(206)의 직경 "D2"보다 약간 작아, 제2 표면(206) 주위의 주변 영역(216)을 세라믹 코팅(214)이 없는 상태로 두고, 그에 의해 세라믹 코팅(214)은 제2 표면(206)의 주변 영역(216)에 터치하는 다른 챔버 컴포넌트들과 간섭하지 않는다. 일 구현예에서, 주변 영역(216)은 제2 표면(206)의 에지로부터 측정할 때 약 1 인치 폭이다. 세라믹 코팅(214)은 중앙 개구(202) 내로 도달하지 않는다.
세라믹 코팅(214)은 써멀 스프레잉 기술(thermal spraying technique), 예를 들어 플라즈마 스프레잉 기술을 이용하여 도포될 수 있다. 써멀 스프레잉 기술들은 스프레이 형태로 재료들(예를 들어, 세라믹 분말들)을 전달하거나, 이온화하거나, 기화시키거나, 또는 다른 방식으로 용융시킬 수 있고, 기계적, 열적 또는 화학적 부착 프로세스들을 통해 제2 표면(206) 상의 코팅의 형성을 촉진하는 방식으로 리드(208)의 제2 표면(206) 상으로 재료들을 스프레이할 수 있다. 써멀 스프레잉되거나 플라즈마 스프레잉된 세라믹 코팅은 약 0.001 인치 내지 약 0.100 인치, 예컨대 약 0.005 인치 내지 약 0.050 인치, 예를 들어 약 0.010 인치 ± 0.001 인치의 두께를 가질 수 있다. 리드(208)가 비-불꽃 연마된 석영으로 이루어지는 경우, 제2 표면(206)은 코팅 이전에 예를 들어 비드 블라스팅에 의해 조면화될 수 있다. 제2 표면(206)의 조면화는 리드(208) 상으로의 세라믹 코팅(214)의 더 양호한 부착을 촉진하도록 제2 표면(206)을 활성화하는 역할을 할 수 있다. 리드(208)가 불꽃 연마된 석영으로 이루어지는 경우, 제2 표면(206)은 제2 표면(206) 상으로의 세라믹 코팅의 부착을 증대시키기 위해서 세라믹 코팅이 제2 표면(206) 상에 퇴적된 이후에 비드 블라스팅에 의해 조면화될 수 있다.
본 개시내용에 개시된 임의의 다른 구현예들과 결합될 수 있는 일 구현예에서, 세라믹 코팅(214)은 Y2O3, Al2O3 및 ZrO2의 미가공 세라믹 분말들로부터 생성될 수 있는 고성능 재료(high performance material)(HPM)이다. 하나의 예시적인 예에서, 세라믹 코팅(214)은 약 45 mol.% 내지 약 100 mol.%의 범위의 Y2O3, 약 0 mol.% 내지 약 55 mol.%의 범위의 ZrO2, 및 약 0 mol.% 내지 약 10 mol.%의 범위의 Al2O3으로 형성될 수 있다. 하나의 예시적인 예에서, 세라믹 코팅(214)은 약 30 mol.% 내지 약 60 mol.%의 범위의 Y2O3, 약 0 mol.% 내지 약 20 mol.%의 범위의 ZrO2, 및 약 30 mol.% 내지 약 60 mol.%의 범위의 Al2O3으로 형성될 수 있다.
본 개시내용에 개시된 임의의 다른 구현예들과 결합될 수 있는 일 구현예에서, 세라믹 코팅(214)은 적어도 화합물 YxZryAlzO로 구성된다. 세라믹 코팅(214)은 세라믹 코팅(214)의 두께에 걸쳐 그레이디드 조성(graded composition)을 가질 수 있다. 하나의 예시적인 예에서, 세라믹 코팅(214)은 약 40 mol.%로부터 약 85 mol.%까지, 예를 들어 약 50 mol.%로부터 약 75 mol.%까지 점진적으로 변화하는 몰 농도를 갖는 Y2O3, 5 mol.%로부터 약 60 mol.%까지, 예를 들어 약 10 mol.%로부터 약 30 mol.%까지 점진적으로 변화하는 몰 농도를 갖는 ZrO2, 및 5 mol.%로부터 약 50 mol.%까지, 예를 들어 약 10 mol.%로부터 약 30 mol.%까지 점진적으로 변화하는 몰 농도를 갖는 Al2O3을 함유할 수 있다. 다른 예시적인 예에서, 세라믹 코팅(214)은 약 55 mol.%로부터 약 65 mol.%까지 점진적으로 변화하는 몰 농도를 갖는 Y2O3, 10 mol.%로부터 약 25 mol.%까지 점진적으로 변화하는 몰 농도를 갖는 ZrO2, 및 10 mol.%로부터 약 20 mol.%까지 점진적으로 변화하는 몰 농도를 갖는 Al2O3을 함유할 수 있다. 또 다른 예시적인 예에서, 세라믹 코팅(214)은 약 55 mol.%로부터 약 65 mol.%까지 점진적으로 변화하는 몰 농도를 갖는 Y2O3, 20 mol.%로부터 약 25 mol.%까지 점진적으로 변화하는 몰 농도를 갖는 ZrO2, 및 5 mol.%로부터 약 10 mol.%까지 점진적으로 변화하는 몰 농도를 갖는 Al2O3을 함유할 수 있다.
본 개시내용에 개시된 임의의 다른 구현예들과 결합될 수 있는 일 구현예에서, 세라믹 코팅(214)은, 화합물 Y4Al2O9와 고용체(solid solution) Y2-xZrxO3(Y2O3-ZrO2 고용체)으로 구성된 HPM 재료이다. 하나의 예시적인 예에서, HPM 세라믹은 77% Y2O3, 15% ZrO2 및 8% Al2O3을 함유한다. 다른 예시적인 예에서, HPM 세라믹은 63% Y2O3, 23% ZrO2 및 14% Al2O3을 함유한다. 또 다른 예시적인 예에서, HPM 세라믹은 55% Y2O3, 20% ZrO2 및 25% Al2O3을 함유한다. 상대적인 백분율들은 몰비로 이루어질 수 있다. 예를 들어, HPM 세라믹은 77 mol% Y2O3, 15 mol% ZrO2 및 8 mol% Al2O3을 함유할 수 있다. 이러한 세라믹 분말들의 다른 분포들이 HPM 재료에 또한 이용될 수 있다. 세라믹 코팅(214)은 H2 화학작용 하에서의 높은 내침식성, 낮은 다공성(porosity), 및 내부식성에 있어서의 상당한 개선을 제공한다. 본 명세서에 설명된 백분율 또는 몰비는 응용에 따라 달라질 수 있다고 고려된다.
도 2c는 도 2b의 원 "B"의 확대 단면도이다. 도 2c에 도시된 바와 같이, 중앙 개구(202)의 내측 프로파일은 상이한 내측 직경들을 갖는 3개의 섹션으로 분할될 수 있다. 즉, 상부 섹션(222), 최하부 섹션(226), 및 상부 섹션(222)과 최하부 섹션(226) 사이에 개재된 중간 섹션(224)이다. 상부 섹션(222)은, 상부 섹션(222)의 내측 표면(228)이 리드(208)를 수직으로 통과하는 중앙 축 "C1"에 대하여 각도 "θ"를 이루는 방향을 따라 연장되도록 상측으로 확개된다. 각도 "θ"는 약 30° 내지 약 60°, 예를 들어 약 45°일 수 있다. 리드(208)는 이러한 확개형 프로파일(flared-out profile)의 결과로서 중앙 개구(202)의 상부 섹션(222) 주위에 플랜지(211)를 형성한다. 도 4와 관련하여 아래에 더 상세하게 논의되는 바와 같이, 상부 섹션(222)은 가스 커플링 인서트(114)의 원추형 또는 테이퍼형 플랜지(414)에 매칭하도록 성형된다.
상부 섹션(222)은 중간 섹션(224)의 내측 직경 "D5"로부터 리드(208)의 제1 표면(204)을 향하여 점진적으로 증가하는 내측 직경 "D4"를 갖는다. 최하부 섹션(226)은 중간 섹션(224)의 내측 직경 "D5"보다 상대적으로 작은 내측 직경 "D6"을 가질 수 있다. 300mm 기판에 대해, 내측 직경 "D4"는 약 1.7 인치 내지 약 2.5 인치일 수 있다. 내측 직경 "D5"는 약 1.4 인치 내지 약 1.8 인치일 수 있다. 내측 직경 "D6"은 약 1.2 인치 내지 약 1.6 인치일 수 있다. 상부 섹션(222)은 깊이 "T3"을 가질 수 있는 한편, 중간 섹션(224)은 깊이 "T3"보다 크거나 작은 깊이 "T4"를 가질 수 있다. 깊이 "T3"은 약 0.15 인치 내지 약 0.4 인치일 수 있다. 깊이 "T4"는 약 0.3 인치 내지 0.5 인치일 수 있다. 최하부 섹션(226)의 코너들(242, 244)은 둥근형으로 이루어질 수 있다.
트렌치(210)는 리드(208)의 제1 표면(204)에서 폐쇄 경로를 따라 형성된다. 트렌치(210)는 환형 또는 임의의 다른 폐쇄 루프 패턴일 수 있다. 트렌치(210)는 중앙 축 "C1"에 평행한 내측 표면(230)을 가질 수 있다. 트렌치(210)는 내측 표면(230)과 중앙 개구(202) 사이에 벽을 정의한다. 트렌치(210)는 상부 섹션(222)의 깊이 "T3"보다 큰 깊이 "T5"를 가질 수 있다. 깊이 "T5"는 깊이 "T3"과 "T4"의 조합보다 작을 수 있다. 깊이 "T5"는 약 0.4 인치 내지 약 0.8 인치일 수 있다.
노치 또는 리세스(234)가 트렌치(210)의 내측 표면(230) 상에 형성될 수 있다. 노치 또는 리세스(234)는, 내측 표면(230)의 일부가 트렌치(210)의 최하부 표면(236)에 대해 약 15° 내지 약 45°, 예를 들어 약 30°의 각도 "β"를 이루는 방향을 따라 연장되도록 베벨형으로 이루어질(beveled) 수 있다. 제1 표면(204)의 일부를 형성하는 트렌치(210)의 내측 에지(232, 233)는 둥근형으로 이루어질 수 있다. 유사하게, 트렌치(210)의 최하부 코너들(238, 240)은 둥근형으로 이루어질 수 있다.
트렌치(210)는 내측 직경 "D7", 및 내측 직경 "D7"보다 큰 외측 직경 "D8"을 가질 수 있다. 노치 또는 리세스(234) 내에 존재하는 내측 표면(230)의 일부는 트렌치(210)의 내측 직경 "D7"보다 작은 직경 "D9"를 갖는다. 직경 "D9"는 상부 섹션(222)의 내측 직경 "D4"보다 상대적으로 크다. 내측 직경 "D7"은 약 2.6 인치 내지 약 3.5 인치일 수 있다. 외측 직경 "D8"은 약 4 인치 내지 약 5.5 인치일 수 있다. 직경 "D9"는 약 2.2 인치 내지 약 3.2 인치일 수 있다.
도 3a는 본 개시내용의 일 구현예에 따른 스페이서 링(302)의 상부도를 도시한다. 도 3b는 도 3a의 라인 A-A를 따라 취해진 스페이서 링(302)의 개략적인 단면도를 도시한다. 도 3c는 도 3a의 스페이서 링(302)의 사시도를 도시한다. 스페이서 링(302)은 도 1에 도시된 가스 커플링 인서트(114)와 리드(108) 사이에 제거가능하게 배치될 수 있다. 스페이서 링(302)은 가스 커플링 인서트(114)의 노출된 표면 상에 도포되는 세라믹 코팅이 리드(108)에 대하여 박탈(robbing)되지 않도록 하는데, 그렇지 않으면 처리 챔버를 오염시키는 입자들을 발생시킬 것이다. 도 3a에 도시된 바와 같이, 스페이서 링(302)은 가스 커플링 인서트(114)(도 1)의 일부의 통과를 허용하는 크기를 갖는 중앙 개구(304)를 갖는다. 스페이서 링(302)은 스페이서 링(302)을 수직으로 통과하는 중앙 축 "C2"를 갖는다. 스페이서 링(302)은 리드(208)와 동축이다.
스페이서 링(302)의 내측 표면(306)은 상부 섹션(222)(도 2c)의 프로파일의 윤곽을 실질적으로 따른다. 일 구현예에서, 스페이서 링(302)은 도 3c에 도시된 바와 같이 대체로 중공 원추대 형상(hollow frusto-conical shape)을 갖는다. 스페이서 링(302)은 중력 또는 임의의 적합한 기계적 방식에 의해 리드(208)의 상부 섹션(222) 상에 놓이는 크기를 가질 수 있다. 일단 스페이서 링(302)이 리드(208)의 상부 섹션(222) 상에 배치되었으면, 가스 커플링 인서트(114)(도 1)가 다음에 스페이서 링(302) 상에 배치된다. 그 이후에, 리드(208)의 중앙 개구(202) 내에 가스 커플링 인서트(114)를 고정하기 위해 리드(208) 상에 가스 커넥터(156)(도 1)가 배치된다.
스페이서 링(302)의 외측 표면(308)은 스페이서 링(302)의 최상부 표면(310)에 대해 각도 "α"를 이루는 방향으로 연장될 수 있다. 각도 "α"는 약 30° 내지 약 60°, 예를 들어 약 45°일 수 있다. 스페이서 링(302)은 도 2c에 도시된 상부 섹션(222)의 깊이 "T3"에 실질적으로 대응하는 높이 "H"를 가질 수 있다. 스페이서 링(302)은 약 0.020 인치 내지 약 0.1 인치, 예를 들어 약 0.045 인치의 두께 "T"를 가질 수 있다. 스페이서 링(302)은 최상부 표면(310)의 에지로부터 측정할 때 외측 직경 "D10"을 가질 수 있다. 외측 직경 "D10"은 도 2c에 도시된 리드(208)의 상부 섹션(222)의 내측 직경 "D4"에 대응할 수 있다.
스페이서 링(302)은 폴리이미드, 엘라스토머, 또는 우수한 기계적 특성 및 내열성을 갖는 임의의 다른 적합한 재료로 이루어질 수 있다.
도 4는 리드(208)의 중앙 개구(202) 내에 배치된 가스 커플링 인서트(114)를 도시하는 도 2c의 확대 단면도이다. 가스 커플링 인서트(114)는 원통형 중공 바디일 수 있고, 원통형 중공 바디는 원통형 중공 바디의 측벽(406) 주위에 형성된 복수의 관통 홀(404)을 갖는다. 가스 커플링 인서트(114)는 원통형 중공 바디의 최하부(410)에 형성된 복수의 축방향 관통 홀(408)을 또한 가질 수 있다. 스페이서 링(302)은 가스 커플링 인서트(114)와 리드(208) 사이에 삽입된다.
가스 커플링 인서트(114)는 원통형 중공 바디의 외측 표면(416)으로부터 외측으로 연장되는 원추형 또는 테이퍼형 플랜지(414)를 가질 수 있다. 원추형 또는 테이퍼형 플랜지(414)는 가스 커플링 인서트(114)의 상부 단부 주위에 배치될 수 있다. 원추형 또는 테이퍼형 플랜지(414)는 상부 섹션(222)의 프로파일의 윤곽을 실질적으로 따른다. 위에서 논의된 세라믹 코팅(214)과 같은 세라믹 코팅이 원추형 또는 테이퍼형 플랜지(414)의 노출된 표면 상으로 퇴적될 수 있다.
일단 가스 커플링 인서트(114)가 리드(208)의 중앙 개구(202) 내에 배치되면, 원추형 또는 테이퍼형 플랜지(414)는 중력 또는 임의의 적합한 기계적 방식에 의해 스페이서 링(302)의 내측 표면(306) 상에 놓여, 원통형 중공 바디의 나머지를 스페이서 링(302)의 최하부 밖으로 빠져나온 상태로 둔다. 원추형 또는 테이퍼형 플랜지(414) 아래에 있는 원통형 중공 바디의 부분은 중간 섹션(224) 및 최하부 섹션(226)을 통하여 리드(208)의 제2 표면(206) 아래의 거리까지 연장된다.
가스 커넥터(156)가 리드(208) 상에 배치된다. 가스 커넥터(156)는, 트렌치(210)를 완전히 커버하지 않고서, 가스 커플링 인서트(114)의 상부 표면(418) 및 제1 표면(204)의 일부를 방사상으로 커버한다. 도 2b 및 도 2c와 관련하여 위에서 논의된 바와 같은 트렌치(210)는 중앙 개구(202)의 상부 섹션(222) 주위에 플랜지(211)를 제공하도록 성형된다. 트렌치(210)는 한 쌍의 하부 플랜지(422a, 422b)를 수용한다. 구체적으로, 트렌치(210)는 상부 플랜지(420) 및 한 쌍의 하부 플랜지(422a, 422b)를 고정하기 위해 플랜지(211)를 이용한다. 상부 플랜지(420) 및 한 쌍의 하부 플랜지(422a, 422b)는 스크류들 또는 임의의 다른 적합한 기계적 수단을 통해 함께 결합될 수 있다. 상부 플랜지(420)는 가스 커넥터(156)를 하우징하도록 성형된다. 그러므로, 상부 클램프(420) 및 하부 클램프들(422a, 422b)은, 플랜지(211)의 이용을 통해, 가스 커넥터(156) 및 가스 커플링 인서트(114)를 리드(208)에 대하여 제자리에 고정한다. 처리 동안, 처리 챔버에서의 낮은 압력은 가스 커넥터(156)를 흡입하여, 이러한 부분들을 제자리에 더 유지할 것이다. 처리 소스 가스(도시되지 않음)는 가스 유입구(412)를 통해 가스 커넥터(156) 내로 그리고 다음에 원통형 중공 바디로 도입된다. 처리 가스는 가스 커플링 인서트(114)의 축방향 관통 홀들(408) 및 관통 홀들(404)을 통해 유동하고, 그에 의해 처리 용적(110)(도 1)에서 균일한 제어된 가스 유동 분배를 제공한다.
요약하면, 본 개시내용의 구현예들은 반도체 처리 시스템에서 이용하기 위한 개선된 리드를 제공한다. 가스 커플링 인서트(114)의 원추형 또는 테이퍼형 플랜지(414), 및 리드(208)의 상부 섹션의 대응하는 확개형 프로파일은 가스 커플링 인서트(114)와 리드(208) 사이의 원활한 접촉(smooth contact)을 허용한다. 리드(208)의 중앙 개구(202) 내에 가파른 각도(hard angle)가 존재하지 않기 때문에, 가스 커플링 인서트(114)의 유지보수, 설치 또는 제거 동안 가스 커플링 인서트(114) 및/또는 리드(208)의 임의의 원하지 않는 치핑 및 균열이 최소화되거나 회피된다. 폴리이미드로 이루어진 스페이서 링은 가스 피드 인서트 상의 세라믹 코팅이 챔버 리드에 대하여 박탈되지 않도록 하기 위해 챔버 리드와 가스 피드 인서트 사이에 배치된다. 결과적으로, 입자 오염의 소스라고 여겨지는, 원추형 또는 테이퍼형 플랜지(414)와 리드(208) 사이의 접촉 표면이 스페이서 링(302)에 의해 보호되기(cushioned) 때문에, 가스 커플링 인서트(114)와 리드(208) 사이의 계면에서의 입자 발생도 또한 크게 감소된다.
전술한 것은 본 개시내용의 구현예들에 관한 것이지만, 본 개시내용의 다른 구현예들 및 추가 구현예들은 그것의 기본 범위로부터 벗어나지 않고서 고안될 수 있으며, 그것의 범위는 이하의 청구항들에 의해 결정된다.

Claims (15)

  1. 기판 처리 챔버를 위한 리드로서,
    제1 표면 및 상기 제1 표면에 대향하는 제2 표면을 갖는 커버 부재;
    상기 커버 부재를 관통하는 중앙 개구 - 상기 중앙 개구의 내측 프로파일은 제1 직경을 갖는 제1 섹션, 제2 직경을 갖는 제2 섹션, 및 제3 직경을 갖는 제3 섹션을 포함하고, 상기 제2 섹션은 상기 제1 섹션과 상기 제3 섹션 사이에 배치되고, 상기 제1 직경은 상기 제2 섹션으로부터 상기 커버 부재의 상기 제1 표면을 향하여 점진적으로 증가하고, 상기 제1 섹션은 상기 중앙 개구의 축에 대해 기울어진 내측 표면을 가짐 -; 및
    상기 제1 표면에서 폐쇄 경로를 따라 형성된 트렌치 - 상기 트렌치는 상기 트렌치의 내측 표면에 형성된 리세스를 가짐 -
    를 포함하는 리드.
  2. 제1항에 있어서,
    상기 제1 섹션의 상기 내측 표면의 각도는 약 30° 내지 약 60°인, 리드.
  3. 제1항에 있어서,
    상기 리세스는, 상기 트렌치의 최하부 표면에 대해 약 15° 내지 약 45°의 각도를 이루는 방향을 따라 연장되는 베벨을 갖는, 리드.
  4. 제1항에 있어서,
    상기 커버 부재는 불꽃 연마된 석영(flame polished quartz)으로 이루어지는, 리드.
  5. 제1항에 있어서,
    상기 커버 부재는 석영으로 이루어지고, 상기 커버 부재의 상기 제2 표면은 불꽃 연마되는, 리드.
  6. 제5항에 있어서,
    상기 커버 부재의 상기 제2 표면은, 이트륨 산화물 함유 세라믹 또는 이트륨 함유 산화물을 포함하는 코팅을 갖는, 리드.
  7. 제5항에 있어서,
    상기 커버 부재의 상기 제2 표면은 약 2 옹스트롬 내지 약 150 옹스트롬의 평균 표면 조도를 갖는, 리드.
  8. 제1항에 있어서,
    상기 커버 부재는 비-불꽃 연마된 석영(non-flame polished quartz)으로 이루어지는, 리드.
  9. 제8항에 있어서,
    상기 커버 부재의 상기 제2 표면은, 이트륨 산화물 함유 세라믹 또는 이트륨 함유 산화물을 포함하는 코팅을 갖는, 리드.
  10. 처리 챔버로서,
    바디;
    상기 바디 내에 배치된 기판 지지체 어셈블리;
    상기 바디를 커버하는 리드 - 상기 리드는,
    제1 표면 및 상기 제1 표면에 대향하는 제2 표면을 갖는 플레이트,
    상기 플레이트를 관통하는 중앙 개구 - 상기 중앙 개구의 일부는 상기 제1 표면을 향하여 증가하는 내측 직경을 가짐 -, 및
    상기 제1 표면에 형성된 트렌치
    를 포함함 -; 및
    상기 중앙 개구 내에 배치되며, 상기 중앙 개구의 내측 직경에 매칭하도록 성형된 테이퍼형 플랜지(tapered flange)를 갖는 가스 커플링 인서트(gas coupling insert); 및
    상기 리드와 상기 가스 커플링 인서트 사이의 계면에 배치된 스페이서 링 - 상기 스페이서 링은 상기 리드와 상기 가스 커플링 인서트 사이의 계면에 매칭하는 형상을 가짐 -
    을 포함하는 처리 챔버.
  11. 제10항에 있어서,
    상기 트렌치는 상기 트렌치의 내측 표면에 형성된 리세스를 갖는, 처리 챔버.
  12. 제11항에 있어서,
    상기 리세스는, 상기 내측 표면의 일부가 상기 트렌치의 최하부 표면에 대해 약 15° 내지 약 45°의 각도를 이루는 방향을 따라 연장되도록 베벨형으로 이루어지는(beveled), 처리 챔버.
  13. 제10항에 있어서,
    상기 플레이트는 불꽃 연마된 석영으로 이루어지고, 상기 플레이트의 상기 제2 표면은, 이트륨 산화물 함유 세라믹 또는 이트륨 함유 산화물을 포함하는 코팅을 갖는, 처리 챔버.
  14. 제10항에 있어서,
    상기 플레이트의 상기 제2 표면은 약 2 옹스트롬 내지 약 150 옹스트롬의 평균 표면 조도를 갖는, 처리 챔버.
  15. 제10항에 있어서,
    상기 플레이트는 비-불꽃 연마된 석영으로 이루어지고, 상기 플레이트의 상기 제2 표면은, 이트륨 산화물 함유 세라믹 또는 이트륨 함유 산화물을 포함하는 코팅을 갖는, 처리 챔버.
KR1020170052858A 2016-04-28 2017-04-25 처리 챔버를 위한 세라믹 코팅된 석영 리드 KR102376942B1 (ko)

Priority Applications (1)

Application Number Priority Date Filing Date Title
KR1020220032750A KR102457581B1 (ko) 2016-04-28 2022-03-16 처리 챔버를 위한 세라믹 코팅된 석영 리드

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201662328686P 2016-04-28 2016-04-28
US62/328,686 2016-04-28
US15/461,172 2017-03-16
US15/461,172 US11017984B2 (en) 2016-04-28 2017-03-16 Ceramic coated quartz lid for processing chamber

Related Child Applications (1)

Application Number Title Priority Date Filing Date
KR1020220032750A Division KR102457581B1 (ko) 2016-04-28 2022-03-16 처리 챔버를 위한 세라믹 코팅된 석영 리드

Publications (2)

Publication Number Publication Date
KR20170123254A true KR20170123254A (ko) 2017-11-07
KR102376942B1 KR102376942B1 (ko) 2022-03-21

Family

ID=60158828

Family Applications (2)

Application Number Title Priority Date Filing Date
KR1020170052858A KR102376942B1 (ko) 2016-04-28 2017-04-25 처리 챔버를 위한 세라믹 코팅된 석영 리드
KR1020220032750A KR102457581B1 (ko) 2016-04-28 2022-03-16 처리 챔버를 위한 세라믹 코팅된 석영 리드

Family Applications After (1)

Application Number Title Priority Date Filing Date
KR1020220032750A KR102457581B1 (ko) 2016-04-28 2022-03-16 처리 챔버를 위한 세라믹 코팅된 석영 리드

Country Status (5)

Country Link
US (3) US11017984B2 (ko)
JP (2) JP6998129B2 (ko)
KR (2) KR102376942B1 (ko)
CN (4) CN113611587B (ko)
TW (3) TWI701700B (ko)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
US11087961B2 (en) * 2018-03-02 2021-08-10 Lam Research Corporation Quartz component with protective coating
JP6987021B2 (ja) * 2018-05-28 2021-12-22 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
WO2020123119A1 (en) * 2018-12-10 2020-06-18 Applied Materials, Inc. Dome stress isolating layer
CN114536158B (zh) * 2022-01-19 2023-03-31 宁波云德半导体材料有限公司 一种刻蚀机反应腔的石英窗的加工方法
CN116145248B (zh) * 2023-04-24 2023-07-25 苏州长光华芯光电技术股份有限公司 一种半导体外延方法

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003297809A (ja) * 2002-03-29 2003-10-17 Shinetsu Quartz Prod Co Ltd プラズマエッチング装置用部材及びその製造方法
JP2013243218A (ja) * 2012-05-18 2013-12-05 Tokyo Electron Ltd プラズマ処理装置、及びプラズマ処理方法
KR20150014468A (ko) * 2012-04-26 2015-02-06 어플라이드 머티어리얼스, 인코포레이티드 건식 플라즈마 식각 장치를 위한 비례하고 균일한 제어된 가스 유동 전달
KR101550579B1 (ko) * 2007-05-30 2015-09-07 어플라이드 머티어리얼스, 인코포레이티드 기판 세정 챔버 및 부품들

Family Cites Families (39)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5614055A (en) 1993-08-27 1997-03-25 Applied Materials, Inc. High density plasma CVD and etching reactor
US5865896A (en) 1993-08-27 1999-02-02 Applied Materials, Inc. High density plasma CVD reactor with combined inductive and capacitive coupling
US6170428B1 (en) * 1996-07-15 2001-01-09 Applied Materials, Inc. Symmetric tunable inductively coupled HDP-CVD reactor
US5928389A (en) 1996-10-21 1999-07-27 Applied Materials, Inc. Method and apparatus for priority based scheduling of wafer processing within a multiple chamber semiconductor wafer processing tool
US6286451B1 (en) * 1997-05-29 2001-09-11 Applied Materials, Inc. Dome: shape and temperature controlled surfaces
US5886315A (en) * 1997-08-01 1999-03-23 Hypertherm, Inc. Blow forward contact start plasma arc torch with distributed nozzle support
US6245192B1 (en) 1999-06-30 2001-06-12 Lam Research Corporation Gas distribution apparatus for semiconductor processing
KR100443772B1 (ko) 2002-01-16 2004-08-09 삼성전자주식회사 코팅 처리된 기재
US20080264564A1 (en) 2007-04-27 2008-10-30 Applied Materials, Inc. Method of reducing the erosion rate of semiconductor processing apparatus exposed to halogen-containing plasmas
US20040134427A1 (en) * 2003-01-09 2004-07-15 Derderian Garo J. Deposition chamber surface enhancement and resulting deposition chambers
JP4213482B2 (ja) 2003-02-07 2009-01-21 東京エレクトロン株式会社 プラズマ処理装置
US7220497B2 (en) * 2003-12-18 2007-05-22 Lam Research Corporation Yttria-coated ceramic components of semiconductor material processing apparatuses and methods of manufacturing the components
JP4361811B2 (ja) 2004-01-09 2009-11-11 東京エレクトロン株式会社 半導体製造装置
CN1998272A (zh) 2004-06-25 2007-07-11 东京毅力科创株式会社 等离子体处理装置
US7685965B1 (en) 2006-01-26 2010-03-30 Lam Research Corporation Apparatus for shielding process chamber port
US8440049B2 (en) * 2006-05-03 2013-05-14 Applied Materials, Inc. Apparatus for etching high aspect ratio features
US8100082B2 (en) * 2007-05-18 2012-01-24 Tokyo Electron Limited Method and system for introducing process fluid through a chamber component
US20090084317A1 (en) * 2007-09-28 2009-04-02 Applied Materials, Inc. Atomic layer deposition chamber and components
JP5444860B2 (ja) * 2008-06-24 2014-03-19 三菱マテリアル株式会社 多結晶シリコン製造装置
US8491967B2 (en) * 2008-09-08 2013-07-23 Applied Materials, Inc. In-situ chamber treatment and deposition process
US8425977B2 (en) * 2008-09-29 2013-04-23 Applied Materials, Inc. Substrate processing chamber with off-center gas delivery funnel
SG162642A1 (en) * 2009-01-06 2010-07-29 Frontken Singapore Pte Ltd Techniques for maintaining a substrate processing system
JP5728482B2 (ja) * 2009-09-25 2015-06-03 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 誘導結合プラズマリアクタ内での高効率ガス解離のための方法及び装置
US9540731B2 (en) 2009-12-04 2017-01-10 Applied Materials, Inc. Reconfigurable multi-zone gas delivery hardware for substrate processing showerheads
US20120052216A1 (en) 2010-08-27 2012-03-01 Applied Materials, Inc. Gas distribution showerhead with high emissivity surface
US10658161B2 (en) * 2010-10-15 2020-05-19 Applied Materials, Inc. Method and apparatus for reducing particle defects in plasma etch chambers
US9767993B2 (en) * 2011-10-07 2017-09-19 Tokyo Electron Limited Plasma processing apparatus
US20130102156A1 (en) 2011-10-21 2013-04-25 Lam Research Corporation Components of plasma processing chambers having textured plasma resistant coatings
US8960235B2 (en) 2011-10-28 2015-02-24 Applied Materials, Inc. Gas dispersion apparatus
US20130277203A1 (en) * 2012-04-24 2013-10-24 Applied Materials, Inc. Process kit shield and physical vapor deposition chamber having same
US20130288037A1 (en) 2012-04-27 2013-10-31 Applied Materials, Inc. Plasma spray coating process enhancement for critical chamber components
US9865434B2 (en) 2013-06-05 2018-01-09 Applied Materials, Inc. Rare-earth oxide based erosion resistant coatings for semiconductor application
US9583369B2 (en) 2013-07-20 2017-02-28 Applied Materials, Inc. Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles
US9869013B2 (en) * 2014-04-25 2018-01-16 Applied Materials, Inc. Ion assisted deposition top coat of rare-earth oxide
US20150345019A1 (en) * 2014-05-30 2015-12-03 Applied Materials, Inc. Method and apparatus for improving gas flow in a substrate processing chamber
KR101598465B1 (ko) * 2014-09-30 2016-03-02 세메스 주식회사 기판 처리 장치 및 방법
KR20170015615A (ko) * 2015-07-29 2017-02-09 삼성전자주식회사 플라즈마 처리 장치
US11017984B2 (en) 2016-04-28 2021-05-25 Applied Materials, Inc. Ceramic coated quartz lid for processing chamber
KR102553629B1 (ko) * 2016-06-17 2023-07-11 삼성전자주식회사 플라즈마 처리 장치

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003297809A (ja) * 2002-03-29 2003-10-17 Shinetsu Quartz Prod Co Ltd プラズマエッチング装置用部材及びその製造方法
KR101550579B1 (ko) * 2007-05-30 2015-09-07 어플라이드 머티어리얼스, 인코포레이티드 기판 세정 챔버 및 부품들
KR20150014468A (ko) * 2012-04-26 2015-02-06 어플라이드 머티어리얼스, 인코포레이티드 건식 플라즈마 식각 장치를 위한 비례하고 균일한 제어된 가스 유동 전달
JP2013243218A (ja) * 2012-05-18 2013-12-05 Tokyo Electron Ltd プラズマ処理装置、及びプラズマ処理方法

Also Published As

Publication number Publication date
US11017984B2 (en) 2021-05-25
JP2022058374A (ja) 2022-04-12
TWM559322U (zh) 2018-05-01
JP2017224804A (ja) 2017-12-21
CN107342208B (zh) 2021-07-23
CN107342208A (zh) 2017-11-10
US20210272774A1 (en) 2021-09-02
CN107574421B (zh) 2021-10-22
JP7284245B2 (ja) 2023-05-30
CN107574421A (zh) 2018-01-12
KR20220038637A (ko) 2022-03-29
CN207834248U (zh) 2018-09-07
US20230057432A1 (en) 2023-02-23
CN113611587B (zh) 2024-03-19
CN113611587A (zh) 2021-11-05
US20170314124A1 (en) 2017-11-02
TW202042271A (zh) 2020-11-16
TW201740424A (zh) 2017-11-16
TWI752545B (zh) 2022-01-11
JP6998129B2 (ja) 2022-02-04
KR102457581B1 (ko) 2022-10-21
TWI701700B (zh) 2020-08-11
KR102376942B1 (ko) 2022-03-21
US11521830B2 (en) 2022-12-06

Similar Documents

Publication Publication Date Title
KR102457581B1 (ko) 처리 챔버를 위한 세라믹 코팅된 석영 리드
JP3166974U (ja) プラズマエッチングチャンバ用エッジリング組立体
US10312076B2 (en) Application of bottom purge to increase clean efficiency
US10600624B2 (en) System and method for substrate processing chambers
CN110277293A (zh) 用于等离子体处理中均匀性控制的锥形上电极
JP2021068909A (ja) Icpプラズマ処理チャンバ内における高収率・基板最端部欠陥低減のための単一リング設計
TWM462943U (zh) 用於電漿處理腔室之蓋環
KR20230163977A (ko) 개방 볼륨 이퀄라이제이션 통로들 및 측면 밀폐부를 가진 평면형 기판 에지 콘택트
TW201724197A (zh) 具有抗電漿蝕刻塗層之電漿蝕刻裝置
US10515843B2 (en) Amalgamated cover ring
KR20170103689A (ko) 플라즈마 프로세싱 시스템들을 위한 고순도 sp3 결합들을 가진 화학적 기상 증착 (cvd) 다이아몬드 코팅을 포함한 에지 링들과 같은 컴포넌트들
US9741540B2 (en) Method for surface treatment of upper electrode, plasma processing apparatus and upper electrode
TWI808459B (zh) 電漿處理裝置及其氣體噴淋環的製作方法
US11742185B2 (en) Uniform in situ cleaning and deposition
TWI824939B (zh) 蝕刻方法、電漿處理裝置及基板處理系統
US20220389584A1 (en) Shower head, electrode unit, gas supply unit, substrate processing apparatus, and substrate processing system
KR20200078383A (ko) 기판 프로세싱 챔버들 및 기판 프로세싱 챔버들을 배기시키는 방법들

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant