TWI694575B - 穿矽通孔結構及其製造方法 - Google Patents

穿矽通孔結構及其製造方法 Download PDF

Info

Publication number
TWI694575B
TWI694575B TW108110506A TW108110506A TWI694575B TW I694575 B TWI694575 B TW I694575B TW 108110506 A TW108110506 A TW 108110506A TW 108110506 A TW108110506 A TW 108110506A TW I694575 B TWI694575 B TW I694575B
Authority
TW
Taiwan
Prior art keywords
semiconductor substrate
barrier layer
layer
formed film
back surface
Prior art date
Application number
TW108110506A
Other languages
English (en)
Other versions
TW202021086A (zh
Inventor
康庭慈
Original Assignee
南亞科技股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 南亞科技股份有限公司 filed Critical 南亞科技股份有限公司
Application granted granted Critical
Publication of TWI694575B publication Critical patent/TWI694575B/zh
Publication of TW202021086A publication Critical patent/TW202021086A/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/562Protection against mechanical damage
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/762Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers
    • H01L21/76224Dielectric regions, e.g. EPIC dielectric isolation, LOCOS; Trench refilling techniques, SOI technology, use of channel stoppers using trench refilling with dielectric materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02255Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by thermal treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76898Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics formed through a semiconductor substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/48Arrangements for conducting electric current to or from the solid state body in operation, e.g. leads, terminal arrangements ; Selection of materials therefor
    • H01L23/481Internal lead connections, e.g. via connections, feedthrough structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本揭露提供一種穿矽通孔(through silicon via,TSV)結構及其製造方法。該穿矽通孔結構包括一半導體基底、一成形膜、一導電線、一阻障層以及一絕緣層。該成形膜設置在該半導體基底的一背表面的上方,經配置以保持該半導體基底之平面狀的形成。該導電線穿過該成形膜並設置在該半導體基底中。該阻障層圍繞該導電線,該絕緣層圍繞該阻障層。

Description

穿矽通孔結構及其製造方法
本申請案主張2018/11/20申請之美國臨時申請案第62/769,833號及2019/01/30申請之美國正式申請案第16/262,134號的優先權及益處,該美國臨時申請案及該美國正式申請案之內容以全文引用之方式併入本文中。
本揭露關於一種半導體結構及其製造方法,特別是關於一種穿矽通孔(through silicon via,TSV)結構及其製造方法。
隨著半導體元件(例如記憶體元件)的積體密度越來越高,典型的二維(2D)結構的積體密度也接近其極限。因此,需要一種具有三維(3D)結構的半導體元件以在積體密度能力方面超過2D結構。此種需求導致對開發3D半導體元件技術的廣泛研究。
在3D半導體元件中,傳輸著承載數據、命令或地址的各種訊號,其中一些訊號或者全部的訊號通過穿矽通孔(through silicon via,TSV)傳輸。穿矽通孔是通過堆疊膜和承載堆疊膜的晶片所形成的一種結構。通常,將晶片研磨以減小其尺寸;但是,在鋸切過程中,接地晶片可能會翹曲。由於晶片的翹曲,半導體記憶體元件透過穿矽通孔的連接可能 會失敗。
上文之「先前技術」說明僅係提供背景技術,並未承認上文之「先前技術」說明揭示本揭露之標的,不構成本揭露之先前技術,且上文之「先前技術」之任何說明均不應作為本案之任一部分。
本揭露提供一種穿矽通孔(through silicon via,TSV)結構。該穿矽通孔結構包括一半導體基底、一成形膜、一導電線、一阻障層以及一絕緣層。該成形膜設置在該半導體基底的一背表面的上方,經配置以保持該半導體基底之平面狀的形成。該導電線穿過該成形膜並設置在該半導體基底中。該阻障層圍繞該導電線,該絕緣層圍繞該阻障層的至少一部分。
在一些實施例中,該半導體基底具有一第一熱膨脹係數,該導電線具有大於該第一熱膨脹係數的一第二熱膨脹係數,該成形膜具有小於該第一熱膨脹係數的一第三熱膨脹係數。
在一些實施例中,該成形膜包括一壓縮材料,當該半導體基底由於翹曲(warping)朝向該背表面凸起時,對該半導體基底施加壓縮。
在一些實施例中,該成形膜包括壓縮氧化矽、氮化矽或高壓縮氮化物。
在一些實施例中,該成形膜包括一拉伸材料,當該半導體基底由於翹曲(warping)朝向與該背表面相對的一前表面凸起時,對該半導體基底施加張力。
在一些實施例中,該成形膜包括氮化鎵。
在一些實施例中,該成形膜是一多層結構,包括一個或複數個第一層和一個或複數個第二層交錯配置排列,其中該第一層中的一個包括氧化物並且連接到該背表面。
在一些實施例中,該第二層包括多晶矽或光阻。
在一些實施例中,該導電線的一第一端面和該阻障層的一端面與該成形膜遠離該背表面的一下表面共面。
在一些實施例中,該導電線與該第一端面相對的一第二端面和該阻障層連接。
在一些實施例中,該絕緣層是一熱氧化物層,絕緣層的一終端表面和該背表面共面。
在一些實施例中,該絕緣層是一沉積層,該絕緣層的一終端表面和該下表面共面。
在一些實施例中,該穿矽通孔結構更包括一種晶層,設置在該導電線和該阻障層之間。
在一些實施例中,該阻障層、該絕緣層和該種晶層具有均勻的厚度。
本揭露另提供一種穿矽通孔結構的製造方法。該製造方法包括步驟:提供一半導體基底;沉積一成形膜在該半導體基底的一背表面的上方;形成一孔洞穿過該成形膜並且進入該半導體基底;形成一絕緣層在該孔洞內;沉積一阻障層在該絕緣層的上方;以及沉積一導電材料在該孔洞內。
在一些實施例中,形成一孔洞穿過該成形膜並且進入該半導體基底的步驟包括:提供一圖案化光阻在該成形膜的上方;以及透過使 用該圖案化光阻做為遮罩,蝕刻該成形膜和該半導體基底不需要的部分。
在一些實施例中,該製造方法更包括:在沉積導電材料之後去除圖案化光阻。
在一些實施例中,該製造方法更包括:沉積一種晶層在該阻障層的上方。
在一些實施例中,透過一熱氧化製程以形成該絕緣層。
藉由上述穿矽通孔結構的配置,施加到半導體基底的應力可以減小,因此可以減小半導體基密的翹曲。
上文已相當廣泛地概述本揭露之技術特徵及優點,俾使下文之本揭露詳細描述得以獲得較佳瞭解。構成本揭露之申請專利範圍標的之其它技術特徵及優點將描述於下文。本揭露所屬技術領域中具有通常知識者應瞭解,可相當容易地利用下文揭示之概念與特定實施例可作為修改或設計其它結構或製程而實現與本揭露相同之目的。本揭露所屬技術領域中具有通常知識者亦應瞭解,這類等效建構無法脫離後附之申請專利範圍所界定之本揭露的精神和範圍。
200:方法
202:步驟
204:步驟
206:步驟
208:步驟
210:步驟
212:步驟
214:步驟
216:步驟
218:步驟
300:穿矽通孔
301:半導體結構
310:半導體基底
312:前表面
314:背表面
316:第一壁
318:第二壁
320:半導體元件
330:成形膜
331:低表面
332:側壁
333:第一層
334:第二層
336:第三層
338:第四層
340:圖案化光阻
342:第一表面
344:第二表面
350:孔洞
370:絕緣層
372:終端表面
380:阻障層
382:終端表面
390:種晶層
391:端面
392:終端表面
400:導電材料
410:導電線
411:第一端面
412:第一端面
414:第二端面
參閱實施方式與申請專利範圍合併考量圖式時,可得以更全面了解本申請案之揭示內容,圖式中相同的元件符號係指相同的元件。
圖1是流程圖,例示本揭露一些實施例之穿矽通孔結構的製造方法。
圖2至圖10是示意圖,例示本揭露一些實施例之穿矽通孔結構的製造方法的各種製造階段。
圖11A和圖11B是剖視圖,例示圖10之成形膜。
圖12至圖16是示意圖,例示本揭露一些實施例之穿矽通孔結構的的製造 方法的各種製造階段。
本揭露之以下說明伴隨併入且組成說明書之一部分的圖式,說明本揭露實施例,然而本揭露並不受限於該實施例。此外,以下的實施例可適當整合以下實施例以完成另一實施例。
「一實施例」、「實施例」、「例示實施例」、「其他實施例」、「另一實施例」等係指本揭露所描述之實施例可包含特定特徵、結構或是特性,然而並非每一實施例必須包含該特定特徵、結構或是特性。再者,重複使用「在實施例中」一語並非必須指相同實施例,然而可為相同實施例。
為了使得本揭露可被完全理解,以下說明提供詳細的步驟與結構。顯然,本揭露的實施不會限制該技藝中的技術人士已知的特定細節。此外,已知的結構與步驟不再詳述,以免不必要地限制本揭露。本揭露的較佳實施例詳述如下。然而,除了實施方式之外,本揭露亦可廣泛實施於其他實施例中。本揭露的範圍不限於實施方式的內容,而是由申請專利範圍定義。
圖1是流程圖,例示本揭露一些實施例之穿矽通孔結構300的製造方法200。圖2至圖10是示意圖,例示本揭露的一些實施例之穿矽通孔結構300的製造方法200的各種製造階段。圖2至圖10可於圖1的製造流程示意性的說明。在後續說明中,圖2至圖10中所示的製造步驟對應參照圖1中的製造步驟。
參照圖2,根據圖1中的步驟202,提供具有一第一熱膨脹係數(coefficient of thermal expansion,CTE)的半導體基底310。在一些 實施例中,半導體基底310具有前表面312和與前表面312相對的背表面314。在一些實施例中,半導體基底310包括在其上設置的複數個半導體元件320。在一些實施例中,在半導體基底的上方設置的半導體元件接近於前表面312。在一些實施例中,半導體基底310是矽基底。
參照圖3,根據圖1中的步驟204,在背表面314的上方提供成形膜330。在一些實施例中,成形膜330的一厚度實質上小於半導體基板310的一厚度。在一些實施例中,成形膜330完全覆蓋背表面314。在一些實施例中,成形膜330是一單層結構或是一多層結構,並且包括拉伸材料或壓縮材料,將於稍後描述。
參照圖4,根據圖1中的步驟206,在成形膜330上提供一圖案化的光阻340。在一些實施例中,透過設置未圖案化的光阻以完全覆蓋成形膜300,然後根據預定圖案去除部分光阻層來形成圖案化的光阻層340。光阻層的剩餘部分形成圖案化光阻層340。
參照圖5,在一些實施例中,根據圖1中的步驟208,執行一蝕刻製程以形成孔洞350。在一些實施例中,該蝕刻製程使用該圖案化光阻層340來定義待蝕刻的區域並且保護成形膜330和半導體基底310的其他區域。在一些實施例中,在執行該蝕刻製程之後,半導體基底310和成形膜330僅在低於圖案化光阻340的部分中保留。在一些實施例中,透過使用該圖案化光阻340作為遮罩,蝕刻成形膜330和半導體基底310的不必要部分。
在一些實施例中,孔洞350穿過成形膜330並且形成在半導體基底310內。在一些實施例中,孔洞350形成在半導體基底310的未設置半導體元件320的區域內。在一些實施例中,孔洞350設置在半導體元件 320之間。在一些實施例中,蝕刻製程包括濕式蝕刻製程、乾式蝕刻製程或其組合。在一些實施例中,剩餘的半導體基底310具有實質上平行於背表面314的第一壁316和與第一壁316相鄰的第二壁318。在一些實施例中,剩餘的成形膜330具有與第二壁318連續的側壁332。
參照圖6,在一些實施例中,根據圖6中的步驟210,在圖案化的光阻340的上方和孔350內沉積絕緣層370。在一些實施例中,絕緣層370形成在圖案化光阻340、側壁332、第一壁316和第二壁318的第一表面342和第二表面344的上方,其中第一表面342實質上上平行於背表面314,並且第二表面344與第一表面342相鄰。在一些實施例中,第二表面344與側壁332連續。在實施例中,絕緣層370具有均勻的厚度。在一些實施例中,絕緣層370包括氧化物。在一些實施例中,絕緣層370包括氧化矽。在一些實施例中,絕緣層370透化學氣相沉積(CVD)製程或物理氣相沉積(PVD)製程形成。
參照圖7,在一些實施例中,根據圖7中的步驟212,在絕緣層370的上方形成阻障層380。在一些實施例中,阻障層380具有均勻的厚度。在一些實施例中,阻障層380包括鈦。在一些實施例中,阻障層380透過CVD製程或PVD製程形成。
參照圖8,在一些實施例中,根據圖8中的步驟214,在阻障層380的上方形成一選擇性的種晶層390。在一些實施例中,種晶層390經配置以改善黏合並且促進導電線的生長,將在後面描述。在一些實施例中,種晶層390具有均勻的厚度。在一些實施例中,種晶層390透過CVD製程或PVD製程形成。
參照圖9,在一些實施例中,根據圖9中的步驟216,導電 材料400沉積在由種晶層390圍繞的孔洞350內。在一些實施例中,導電材料400具有不同於該第一熱膨脹係數的一第二熱膨脹係數。在一些實施例中,該第一熱膨脹係數小於該第二熱膨脹係數。在一些實施例中,導電材料400具有足以填充孔洞350的厚度。在一些實施例中,在圖9中所示的半導體結構301上執行一平坦化製程以在種晶層390的端面391的上方去除導電材料400的多餘部分,從而形成導電線410。在一些實施例中,平坦化製程包括一化學機械研磨(CMP)製程。在一些實施例中,導電線410具有與端面391共面的第一端面411和與種晶層390接合的第二端面414,其中第二端表面414實質上平行於第一端面411。在一些實施例中,導電材料400包括銅。
參照圖10,在一些實施例中,根據圖1中的步驟218,圖案化光阻340、以及部份的絕緣層370、阻障層380、種晶層390以及導電材料400被適當地去除,以暴露成形膜330的下表面331。結果,本揭露的穿矽通孔結構300被完全地形成。在一些實施例中,穿矽通孔結構300包括半導體基底310、成形膜330、絕緣層370、阻障層380和導電線410。在一些實施例中,成形膜330設置在半導體基底310的背表面314的上方,並且導電線410穿過成形膜330設置在半導體基板310內。在一些實施例中,阻障層380圍繞導電線410,並且絕緣層370至少圍繞阻障層380的一部分。
在一些實施例中,穿矽通孔結構300更包括設置在導電線410和絕緣層370之間的種晶層390。在一些實施例中,絕緣層370、阻障層380和種晶層390具有均勻的厚度。在一些實施例中,絕緣層的終端表面372、382和392,阻障層380和種晶層390,導電線410的第一端面412分別與成形膜330的下表面331實質上共面。在一些實施例中,穿矽通孔 結構300更包括設置在半導體基底中和靠近半導體基底310前表面312的複數個半導體元件320,其中前表面312與背表面314相對。在一些實施例中,導電線410設置在半導體元件320之間。
在一些實施例中,半導體基底310具有一第一熱膨脹係數,和導電線410具有大於該第一熱膨脹係數的一第二熱膨脹係數。值得注意的是,當導電材料400的該第二熱膨脹係數與半導體基底310的該第一熱膨脹係數不同時,出現半導體基底310和導電線410之間的熱膨脹係數不匹配的問題,因為具有較高熱膨脹係數的導線410在加熱過程中經受較大的熱應力。結果,半導體基底310翹曲。因此,提供具有小於該第一熱膨脹係數的一第三熱膨脹係數的成形膜330,以保持半導體基底310的平面形成。
在一些實施例中,當向半導體基底310施加壓縮應力時,穿矽通孔結構300的半導體基底310變得翹曲,即朝向前表面312凸出。在這種情況下,成形膜330可以包括拉伸材料以防止半導體基底310變形(即,翹曲),使得半導體基底310可以保持平面形成。在一些實施例中,具有抗拉伸材料的成形膜330是包括氮化鎵的單層結構。在一些實施例中,包括拉伸材料的成形膜330是一多層結構,包括第一層333和第二層334,如圖11A所示。第一層333設置在圖10所示的背表面314的上方。第二層334設置在第一層333的上方。在一些實施例中,第一層333包括氧化矽,第二層334包括光阻或多晶矽。在一些實施例中,包括拉伸材料的成形膜330是一多層結構,包括交錯配置的複數個第三層336和複數個第四層338,如圖11B所示。在一些實施例中,第三層336包括氧化矽,第四層338包括多晶矽。
在一些實施例中,當拉應力施加到半導體基板310時,穿矽通孔結構300的半導體基底310變得翹曲,即朝向背表面314凸出。在這種情況下,成形膜330可以包括壓縮材料以防止半導體基底310變形。因此,半導體基底310保持平面形成。在一些實施例中,包括壓縮材料的成形膜330是一單層結構並且包括壓縮氧化矽、氮化矽或高壓縮氮化矽。
藉由在半導體基底310的背表面314上提供成形膜330,可以減小施加到半導體基底310的應力以維持半導體基底310的平面形成。
圖12至圖16是示意圖,例示本揭露的一些實施例之穿矽通孔結構300的製造方法200的各種製造階段。值得注意的是,在圖2至圖5的預處理步驟期間執行圖12的處理。圖12至圖16中所示的步驟可於圖1中的處理步驟210至218示意性的說明。在後續說明中,圖12至圖16中所示的製造步驟對應參照圖1中的製造步驟210至218。
參照圖12,在一些實施例中,根據圖12中的步驟210形成絕緣層370。在一些實施例中,絕緣層370是透過熱氧化製程形成的熱氧化層。在一些實施例中,絕緣層370是下掩氧化物層。在該實施例中,絕緣層370具有均勻的厚度。在一些實施例中,絕緣層370的終端表面372與半導體基底310的一背表面共面。
參照圖13,在一些實施例中,根據圖1中的步驟212,在絕緣層370上形成阻障層380並且形成成形膜330的側壁332。在一些實施例中,阻障層380具有均勻的厚度。在一些實施例中,阻障層380的終端表面382與成形膜330的下表面331共面。
參照圖14,在一些實施例中,根據圖1中的步驟214,在阻障層380的上方形成選擇性的種晶層390。在一些實施例中,種晶層390具 有均勻的厚度。在一些實施例中,種晶層390的終端表面392與下表面331共平面。
參照圖15,根據圖1中的步驟216,導電材料400在由種晶層390圍繞的孔洞350內沉積。在一些實施例中,導電材料400具有實質上小於該第一熱膨脹係數的第一二熱膨脹係數。在一些實施例中,導電材料400具有足以填充孔洞350的厚度。在一些實施例中,對圖15中所示的半導體結構執行平坦化製程。在一些實施例中,導電線410的第一端面412與下表面331共面。在一些實施例中,第二端面414與導電材料400的多餘部分在終端表面382和392上移除。在一些實施例中,導電線410的第一端面412與下表面331共面。在一些實施例中,平行於第一端表面412的導電線410與種晶層390交界。在一些實施例中,導電材料400包括銅。
參照圖16,根據圖1中的步驟218,適當地去除設置在成形膜330上方的圖案化光阻340以暴露下表面331。因此,形成了本揭露的穿矽通孔結構300。在一些實施例中,穿矽通孔結構300包括半導體基底310、成形膜330、絕緣層370、阻障層380和導電線410。在一些實施例中,成形膜330設置在半導體基底310的背表面314的上方,並且導電線410穿過成形膜330設置在半導體基板310內。在一些實施例中,阻障層380圍繞導線410,絕緣層370部分地圍繞阻障層380並且下埋在半導體基底310中。在一些實施例中,穿矽通孔結構300更包括設置在導電線410和阻障層380之間的種晶層390。在一些實施例中,穿矽通孔結構300更包括設置在半導體基底310中並靠近其前表面312的複數個半導體元件320,其中前表面312與背表面314相對。
在一些實施例中,半導體基底310具有一第一熱膨脹係 數,導電線410具有大於該第一熱膨脹係數的一第二熱膨脹係數,成形膜330具有小於該第一熱膨脹係數的一第三熱膨脹係數。在一些實施例中,成形膜330經配置以維持半導體基底310的平面形成。在一些實施例中,成形膜330包括壓縮材料,並且當半導體基底310受到由導線410產生的拉伸應力時,對半導體基底310施加壓縮。在一些實施例中,成形膜330包括拉伸材料,並且當半導體基底310被施加到由導線410產生的壓縮應力時,將張力施加到半導體基底310。
總而言之,利用穿矽通孔結構300的配置,施加到半導體基底310的壓縮或拉伸應力可以減小,並且半導體基底310的翹曲可以因此減少。
本揭露提供一種穿矽通孔(through silicon via,TSV)結構。在一些實施例中,該穿矽通孔結構包括一半導體基底、一成形膜、一導電線、一阻障層以及一絕緣層。在一些實施例中,該成形膜設置在該半導體基底的一背表面的上方,經配置以保持該半導體基底之平面狀的形成。在一些實施例中,該導電線穿過該成形膜並設置在該半導體基底中。在一些實施例中,該阻障層圍繞該導電線,該絕緣層圍繞該阻障層。
本揭露另提供一種穿矽通孔結構的製造方法。在一些實施例中,該製造方法包括步驟:提供一半導體基底;沉積一成形膜在該半導體基底的一背表面的上方;形成一孔洞穿過該成形膜並且進入該半導體基底;形成一絕緣層在該孔洞內;沉積一阻障層在該絕緣層的上方;以及沉積一導電材料在該孔洞內。
雖然已詳述本揭露及其優點,然而應理解可進行各種變化、取代與替代而不脫離申請專利範圍所定義之本揭露的精神與範圍。例 如,可用不同的方法實施上述的許多製程,並且以其他製程或其組合替代上述的許多製程。
再者,本申請案的範圍並不受限於說明書中所述之製程、機械、製造、物質組成物、手段、方法與步驟之特定實施例。該技藝之技術人士可自本揭露的揭示內容理解可根據本揭露而使用與本文所述之對應實施例具有相同功能或是達到實質相同結果之現存或是未來發展之製程、機械、製造、物質組成物、手段、方法、或步驟。據此,此等製程、機械、製造、物質組成物、手段、方法、或步驟係包含於本申請案之申請專利範圍內。
300:穿矽通孔
310:半導體基底
312:前表面
314:背表面
320:半導體元件
330:成形膜
331:低表面
370:絕緣層
372:終端表面
380:阻障層
382:終端表面
390:種晶層
392:終端表面
410:導電線
412:第一端面

Claims (17)

  1. 一種穿矽通孔結構,包括:一半導體基底;一成形膜,設置在該半導體基底的一背表面的上方,經配置以保持該半導體基底之平面狀的形成,且該成形膜係一單層結構,其中該成形膜包括一拉伸材料,當該半導體基底由於翹曲朝向與該背表面相對的一前表面凸起時,對該半導體基底施加張力,該成形膜包括氮化鎵;一導電線,穿過該成形膜並設置在該半導體基底中;一阻障層,圍繞該導電線;以及一絕緣層,至少圍繞該阻障層的一部分。
  2. 如請求項1所述的穿矽通孔結構,其中該半導體基底具有一第一熱膨脹係數,該導電線具有大於該第一熱膨脹係數的一第二熱膨脹係數,該成形膜具有小於該第一熱膨脹係數的一第三熱膨脹係數。
  3. 如請求項1所述的穿矽通孔結構,其中該導電線的一第一端面和該阻障層的一端面與該成形膜遠離該背表面的一下表面共面。
  4. 如請求項3所述的穿矽通孔結構,其中該絕緣層是一沉積層,該絕緣層的一終端表面和該下表面共面。
  5. 如請求項1所述的穿矽通孔結構,更包括一種晶層,設置在該導電線 和該阻障層之間。
  6. 如請求項5所述的穿矽通孔結構,其中該阻障層、該絕緣層和該種晶層具有均勻的厚度。
  7. 一種穿矽通孔結構,包括:一半導體基底;一成形膜,設置在該半導體基底的一背表面的上方,經配置以保持該半導體基底之平面狀的形成;一導電線,穿過該成形膜並設置在該半導體基底中;一阻障層,圍繞該導電線;以及一絕緣層,至少圍繞該阻障層的一部分;其中該成形膜包括一拉伸材料,當該半導體基底由於翹曲朝向與該背表面相對的一前表面凸起時,對該半導體基底施加張力;以及該成形膜是一多層結構,包括一個或複數個第一層和一個或複數個第二層交錯配置排列,其中該第一層中的一個包括氧化物並且連接到該背表面。
  8. 如請求項7所述的穿矽通孔結構,其中該第二層包括多晶矽或光阻。
  9. 如請求項7所述的穿矽通孔結構,其中該成形膜包括一壓縮材料,當該半導體基底由於翹曲朝向該背表面凸起時,對該半導體基底施加壓縮。
  10. 如請求項9所述的穿矽通孔結構,其中該成形膜包括壓縮氧化矽、氮化矽或高壓縮氮化物。
  11. 一種穿矽通孔結構,包括:一半導體基底;一成形膜,設置在該半導體基底的一背表面的上方,經配置以保持該半導體基底之平面狀的形成;一導電線,穿過該成形膜並設置在該半導體基底中;一阻障層,圍繞該導電線;以及一絕緣層,至少圍繞該阻障層的一部分;其中該導電線的一第一端面和該阻障層的一端面與該成形膜遠離該背表面的一下表面共面;及該導電線與該第一端面相對的一第二端面和該阻障層連接。
  12. 一種穿矽通孔結構,包括:一半導體基底;一成形膜,設置在該半導體基底的一背表面的上方,經配置以保持該半導體基底之平面狀的形成;一導電線,穿過該成形膜並設置在該半導體基底中;一阻障層,圍繞該導電線;以及一絕緣層,至少圍繞該阻障層的一部分;其中該導電線的一第一端面和該阻障層的一端面與該成形膜遠離該背表面的一下表面共面;及 該絕緣層是一熱氧化物層,絕緣層的一終端表面和該背表面共面。
  13. 一種穿矽通孔結構的製造方法,包括:提供一半導體基底;沉積一成形膜在該半導體基底的一背表面的上方,且該成形膜係一單層結構,其中該成形膜包括一拉伸材料,當該半導體基底由於翹曲朝向與該背表面相對的一前表面凸起時,對該半導體基底施加張力,該成形膜包括氮化鎵;形成一孔洞穿過該成形膜並且進入該半導體基底;形成一絕緣層在該孔洞內;沉積一阻障層在該絕緣層的上方;以及沉積一導電材料在該孔洞內。
  14. 如請求項13所述的製造方法,其中形成一孔洞穿過該成形膜並且進入該半導體基底的步驟包括:提供一圖案化光阻在該成形膜的上方;以及透過使用該圖案化光阻做為遮罩,蝕刻該成形膜和該半導體基底不需要的部分。
  15. 如請求項14所述的製造方法,更包括:在沉積導電材料之後去除圖案化光阻。
  16. 如請求項13所述的製造方法,更包括: 沉積一種晶層在該阻障層的上方。
  17. 如請求項13所述的製造方法,其中透過一熱氧化製程以形成該絕緣層。
TW108110506A 2018-11-20 2019-03-26 穿矽通孔結構及其製造方法 TWI694575B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201862769833P 2018-11-20 2018-11-20
US62/769,833 2018-11-20
US16/262,134 US10707151B2 (en) 2018-11-20 2019-01-30 Through silicon via structure and method for manufacturing the same
US16/262,134 2019-01-30

Publications (2)

Publication Number Publication Date
TWI694575B true TWI694575B (zh) 2020-05-21
TW202021086A TW202021086A (zh) 2020-06-01

Family

ID=70727119

Family Applications (1)

Application Number Title Priority Date Filing Date
TW108110506A TWI694575B (zh) 2018-11-20 2019-03-26 穿矽通孔結構及其製造方法

Country Status (3)

Country Link
US (1) US10707151B2 (zh)
CN (1) CN111199932B (zh)
TW (1) TWI694575B (zh)

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN112599483B (zh) * 2020-12-11 2024-02-27 武汉新芯集成电路制造有限公司 半导体器件及其制作方法、芯片
KR20230039214A (ko) * 2021-09-14 2023-03-21 삼성전자주식회사 방열 패드, 이를 포함하는 반도체 칩 및 반도체 칩의 제조 방법

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8519528B1 (en) * 2011-06-13 2013-08-27 Xilinx, Inc. Semiconductor structure and method for interconnection of integrated circuits
US20130234325A1 (en) * 2011-04-27 2013-09-12 Industrial Technology Research Institute Filled through-silicon via and the fabrication method thereof
US20140124900A1 (en) * 2012-11-02 2014-05-08 Texas Instruments Incorporated Through-silicon via (tsv) die and method to control warpage
US20160358802A1 (en) * 2009-12-30 2016-12-08 Solexel, Inc. Bipolar mobile electrostatic carriers for wafer processing

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6238533B1 (en) * 1995-08-07 2001-05-29 Applied Materials, Inc. Integrated PVD system for aluminum hole filling using ionized metal adhesion layer
US7276787B2 (en) * 2003-12-05 2007-10-02 International Business Machines Corporation Silicon chip carrier with conductive through-vias and method for fabricating same
US7300857B2 (en) * 2004-09-02 2007-11-27 Micron Technology, Inc. Through-wafer interconnects for photoimager and memory wafers
US7517798B2 (en) * 2005-09-01 2009-04-14 Micron Technology, Inc. Methods for forming through-wafer interconnects and structures resulting therefrom
US20070105292A1 (en) * 2005-11-07 2007-05-10 Neng-Kuo Chen Method for fabricating high tensile stress film and strained-silicon transistors
CN100483667C (zh) * 2006-08-10 2009-04-29 中芯国际集成电路制造(上海)有限公司 形成浅沟槽隔离结构的方法和浅沟槽隔离结构
CN101330022B (zh) * 2007-06-20 2012-01-11 联华电子股份有限公司 制作高张力薄膜的方法及机台
US7678696B2 (en) * 2008-08-08 2010-03-16 International Business Machines Corporation Method of making through wafer vias
US7964502B2 (en) * 2008-11-25 2011-06-21 Freescale Semiconductor, Inc. Multilayered through via
US8704375B2 (en) * 2009-02-04 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Barrier structures and methods for through substrate vias
US8202766B2 (en) * 2009-06-19 2012-06-19 United Microelectronics Corp. Method for fabricating through-silicon via structure
US8242604B2 (en) * 2009-10-28 2012-08-14 International Business Machines Corporation Coaxial through-silicon via
US9714474B2 (en) * 2010-04-06 2017-07-25 Tel Nexx, Inc. Seed layer deposition in microscale features
US8723185B2 (en) * 2010-11-30 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. Reducing wafer distortion through a high CTE layer
US9305865B2 (en) * 2013-10-31 2016-04-05 Micron Technology, Inc. Devices, systems and methods for manufacturing through-substrate vias and front-side structures
US8481425B2 (en) * 2011-05-16 2013-07-09 United Microelectronics Corp. Method for fabricating through-silicon via structure
US8779559B2 (en) * 2012-02-27 2014-07-15 Qualcomm Incorporated Structure and method for strain-relieved TSV
US9034769B2 (en) * 2012-12-12 2015-05-19 Micron Technology, Inc. Methods of selectively removing a substrate material
US9287197B2 (en) * 2013-03-15 2016-03-15 Globalfoundries Singapore Pte. Ltd. Through silicon vias
US9673316B1 (en) * 2013-03-15 2017-06-06 Maxim Integrated Products, Inc. Vertical semiconductor device having frontside interconnections
US9716066B2 (en) * 2013-06-29 2017-07-25 Intel Corporation Interconnect structure comprising fine pitch backside metal redistribution lines combined with vias
US9514986B2 (en) * 2013-08-28 2016-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Device with capped through-substrate via structure
US20150137323A1 (en) * 2013-11-15 2015-05-21 United Microelectronics Corp. Method for fabricating through silicon via structure
KR102165267B1 (ko) * 2013-11-18 2020-10-13 삼성전자 주식회사 Tsv 구조를 포함하는 집적회로 소자 및 그 제조 방법
US9865523B2 (en) * 2014-01-17 2018-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Robust through-silicon-via structure
US9653381B2 (en) * 2014-06-17 2017-05-16 Micron Technology, Inc. Semiconductor structures and die assemblies including conductive vias and thermally conductive elements and methods of forming such structures
US9716035B2 (en) * 2014-06-20 2017-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Combination interconnect structure and methods of forming same
KR102315276B1 (ko) * 2014-10-06 2021-10-20 삼성전자 주식회사 집적회로 소자 및 그 제조 방법
US9466569B2 (en) * 2014-11-12 2016-10-11 Freescale Semiconductor, Inc. Though-substrate vias (TSVs) and method therefor
US9812359B2 (en) * 2015-06-08 2017-11-07 Globalfoundries Inc. Thru-silicon-via structures
US10163655B2 (en) * 2015-11-20 2018-12-25 Micron Technology, Inc. Through substrate via liner densification
US9923011B2 (en) * 2016-01-12 2018-03-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with stacked semiconductor dies
US9704784B1 (en) * 2016-07-14 2017-07-11 Nxp Usa, Inc. Method of integrating a copper plating process in a through-substrate-via (TSV) on CMOS wafer
US9917009B2 (en) * 2016-08-04 2018-03-13 Globalfoundries Inc. Methods of forming a through-substrate-via (TSV) and a metallization layer after formation of a semiconductor device
US10403575B2 (en) * 2017-01-13 2019-09-03 Micron Technology, Inc. Interconnect structure with nitrided barrier
JP6697411B2 (ja) * 2017-03-29 2020-05-20 キオクシア株式会社 半導体装置の製造方法
TW201906035A (zh) * 2017-06-24 2019-02-01 美商微材料有限責任公司 生產完全自我對準的介層窗及觸點之方法
KR102422460B1 (ko) * 2017-08-22 2022-07-19 삼성전자주식회사 반도체 소자
US11088020B2 (en) * 2017-08-30 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of interconnection structure of semiconductor device
US11393779B2 (en) * 2018-06-13 2022-07-19 Invensas Bonding Technologies, Inc. Large metal pads over TSV

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20160358802A1 (en) * 2009-12-30 2016-12-08 Solexel, Inc. Bipolar mobile electrostatic carriers for wafer processing
US20130234325A1 (en) * 2011-04-27 2013-09-12 Industrial Technology Research Institute Filled through-silicon via and the fabrication method thereof
US8519528B1 (en) * 2011-06-13 2013-08-27 Xilinx, Inc. Semiconductor structure and method for interconnection of integrated circuits
US20140124900A1 (en) * 2012-11-02 2014-05-08 Texas Instruments Incorporated Through-silicon via (tsv) die and method to control warpage

Also Published As

Publication number Publication date
CN111199932A (zh) 2020-05-26
TW202021086A (zh) 2020-06-01
CN111199932B (zh) 2022-03-01
US20200161221A1 (en) 2020-05-21
US10707151B2 (en) 2020-07-07

Similar Documents

Publication Publication Date Title
TWI406381B (zh) 半導體裝置及其形成方法
US10978386B2 (en) Microelectronic devices with through-silicon vias and associated methods of manufacturing
US8404534B2 (en) End-to-end gap fill using dielectric film
US9984926B2 (en) Solution for TSV substrate leakage
US20090140375A1 (en) Method of forming isolation layer in semiconductor device
TWI694575B (zh) 穿矽通孔結構及其製造方法
US10998263B2 (en) Back end of line (BEOL) time dependent dielectric breakdown (TDDB) mitigation within a vertical interconnect access (VIA) level of an integrated circuit (IC) device
US10692733B2 (en) Uniform back side exposure of through-silicon vias
JP2008021768A (ja) 半導体装置およびその製造方法
JP6808460B2 (ja) 半導体装置及びその製造方法
TW202147584A (zh) 3d邏輯及3d記憶體的架構設計及製程
US20120032339A1 (en) Integrated circuit structure with through via for heat evacuating
TW202018769A (zh) 半導體元件及其製造方法
JP2012256639A (ja) 半導体装置の製造方法
CN107742617B (zh) 半导体结构及其制备方法
CN103928292B (zh) 条形结构的形成方法
TW201635432A (zh) 半導體結構與其製備方法
TWI618224B (zh) 記憶體結構及其製造方法
US20240071906A1 (en) Semiconductor structure and manufacturing method thereof
KR20090128133A (ko) 반도체 소자의 제조 방법
KR20090067453A (ko) 반도체 소자 및 그의 제조 방법
JPS6249643A (ja) 半導体装置およびその製造方法
US20080160744A1 (en) Method for fabricating semiconductor device and improving thin film uniformity
US20070148957A1 (en) Method of manufacturing metal insulating layer in semiconductor device
US8524603B1 (en) Fabricating method for semiconductor device