TWI685887B - 半導體裝置及其製造方法 - Google Patents

半導體裝置及其製造方法 Download PDF

Info

Publication number
TWI685887B
TWI685887B TW106143245A TW106143245A TWI685887B TW I685887 B TWI685887 B TW I685887B TW 106143245 A TW106143245 A TW 106143245A TW 106143245 A TW106143245 A TW 106143245A TW I685887 B TWI685887 B TW I685887B
Authority
TW
Taiwan
Prior art keywords
layer
sidewall spacer
gate structure
forming
spacer layer
Prior art date
Application number
TW106143245A
Other languages
English (en)
Other versions
TW201913759A (zh
Inventor
林群雄
張家豪
王志豪
連萬益
周智超
王培宇
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201913759A publication Critical patent/TW201913759A/zh
Application granted granted Critical
Publication of TWI685887B publication Critical patent/TWI685887B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28026Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon characterised by the conductor
    • H01L21/28123Lithography-related aspects, e.g. sub-lithography lengths; Isolation-related aspects, e.g. to solve problems arising at the crossing with the side of the device isolation; Planarisation aspects
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/76Making of isolation regions between components
    • H01L21/764Air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823821Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • H01L27/0924Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4983Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material
    • H01L29/4991Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET with a lateral structure, e.g. a Polysilicon gate with a lateral doping variation or with a lateral composition variation or characterised by the sidewalls being composed of conductive, resistive or dielectric material comprising an air gap
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/6656Unipolar field-effect transistors with an insulated gate, i.e. MISFET using multiple spacer layers, e.g. multiple sidewall spacers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7851Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with the body tied to the substrate

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

在一種製造半導體裝置的方法中,形成一閘極結構於一通道層和一隔離絕緣層之上。形成一第一側壁間隔物層於閘極結構的一側表面上。形成一犧牲層以使具有第一側壁間隔物層的閘極結構的一上部從犧牲層中暴露出來,而具有第一側壁間隔物層的閘極結構的一底部則嵌於犧牲層內。藉由移除至少一部分的第一側壁間隔物層,形成一空間於閘極結構的底部與犧牲層之間。在移除第一側壁間隔物層之後,藉由形成一第二側壁間隔物層於閘極結構之上,形成一空氣間隙於閘極結構的底部與犧牲層之間。

Description

半導體裝置及其製造方法
本發明實施例係有關一種製造半導體積體電路的方法;特別是關於一種包括鰭式場效電晶體(fin field effect transistor,FinFET)的半導體裝置及其製造方法。
隨著半導體產業引入了更高性能和更強功能性的新世代積體電路(integrated circuit,IC),所形成的積體電路的元件密度增加,當積體電路的元件尺寸或元件與元件之間的間隔減小,卻導致了各式各樣的問題。例如,當任何兩個相鄰的導電特徵之間的距離減小時,將造成電容(寄生電容)的增加。此電容的增加將導致能量消耗的增加和電阻-電容(resistive-capacitive,RC)時間常數的增加,即信號延遲的增加。兩相鄰導電特徵之間的電容為填充於此兩相鄰導電特徵之間的空間中的絕緣材料的介電常數(k值)的函數(亦為兩相鄰導電特徵之間的距離和兩相鄰導電特徵的側表面大小的函數)。因此,半導體積體電路性能和功能的持續改進,將取決於具有低k值的絕緣(介電)材料的開發。由於具有最低介電常數的物質為空氣(k= 1.0),因此可形成空氣間隙以進一步降低導電層的有效k值。
根據本揭示內容之多個實施方式,係提供一種製造半導體裝置的方法,包括形成一閘極結構於一通道層和一隔離絕緣層之上;形成一第一側壁間隔物層於閘極結構的一側表面上;形成一犧牲層以使具有第一側壁間隔物層的閘極結構的一上部從犧牲層中暴露出來,而具有第一側壁間隔物層的閘極結構的一底部則嵌於犧牲層內;藉由移除至少一部分的第一側壁間隔物層,形成一空間於閘極結構的底部與犧牲層之間;以及在移除第一側壁間隔物層之後,藉由形成一第二側壁間隔物層於閘極結構之上,形成一空氣間隙於閘極結構的底部與犧牲層之間。
根據本揭示內容之多個實施方式,係提供一種製造半導體裝置的方法,包括形成一閘極結構於一鰭狀結構的一通道層和一隔離絕緣層之上;形成一第一側壁間隔物層於閘極結構的一側表面上,第一側壁間隔物層包括一主層;形成一襯墊層於第一側壁間隔物層之上;形成一犧牲層以使具有第一側壁間隔物層的閘極結構的一上部及襯墊層從犧牲層中暴露出來,而具有第一側壁間隔物層的閘極結構的一底部及襯墊層則嵌於犧牲層內;藉由移除第一側壁間隔物層的主層,形成一空間於閘極結構的底部與襯墊層之間;以及在 移除第一側壁間隔物層之後,藉由形成一第二側壁間隔物層,形成一空氣間隙於閘極結構的底部與襯墊層之間。
根據本揭示內容之多個實施方式,係提供一種半導體裝置,包括設置於一通道層和一隔離絕緣層之上的一閘極電極;以及設置於閘極電極的相對的主側表面上和隔離絕緣層之上的複數個側壁間隔物層。側壁間隔物層包括一下層和設置於下層上的一上層,並且下層包括一空氣間隙。
10‧‧‧基板
20‧‧‧鰭狀結構
30‧‧‧隔離絕緣層
40‧‧‧閘極結構
42‧‧‧閘極介電層
44‧‧‧閘極電極層
48‧‧‧閘極頂蓋絕緣層
50‧‧‧空氣間隙
51‧‧‧側壁間隔物
52‧‧‧第一部分
53‧‧‧下部分
54‧‧‧第二部分
55‧‧‧底部絕緣層
56‧‧‧上部分
60‧‧‧源極/汲極結構
62‧‧‧蝕刻停止層
110‧‧‧基板
120‧‧‧鰭狀結構
130‧‧‧隔離絕緣層
140‧‧‧虛設閘極結構
142‧‧‧虛設閘極電極
144‧‧‧第一遮罩層
146‧‧‧第二遮罩層
149‧‧‧閘極空間
150‧‧‧側壁間隔物層
152‧‧‧第一子層
154‧‧‧主層
155‧‧‧空間
156‧‧‧第二子層
160‧‧‧保護層
162‧‧‧覆蓋層
170‧‧‧源極/汲極磊晶層
180‧‧‧接觸蝕刻停止層
185‧‧‧犧牲層
186‧‧‧層間電介質層
190‧‧‧上側壁間隔物層
192‧‧‧薄襯墊層
200‧‧‧空氣間隙
205‧‧‧層間介電質層
220‧‧‧金屬閘極電極
221‧‧‧金屬閘極
225‧‧‧閘極介電層
226‧‧‧閘極介電層
230‧‧‧閘極頂蓋絕緣層
231‧‧‧閘極頂蓋絕緣層
nF、pF‧‧‧區
H1、H2、H3、H4、H5‧‧‧高度
W1‧‧‧寬度
當結合附圖閱讀時,從以下詳細描述中可以更好地理解本揭露之各個方面。應注意,依據工業中之標凖實務,多個特徵並未按比例繪製。實際上,多個特徵之尺寸可任意增大或縮小,以便使論述明晰。
第1A圖繪示根據本揭示內容的實施例的具有與閘極電極相鄰的空氣間隙的半導體裝置的立體圖。
第1B圖和第1C圖繪示根據本揭示內容的實施例的具有與閘極電極相鄰的空氣間隙的半導體裝置的剖面圖和側視圖。第1D圖為對應於第1B圖的區域A1的放大圖。
第2A圖至第2C圖繪示根據本揭示內容的實施例的半導體裝置製造製程的各個階段。第2A圖繪示平面(頂部)圖,第2B圖繪示對應於第2A圖的線X1-X1的剖面圖,而第2C圖繪示對應於第2A圖的線Y1-Y1的剖面圖。
第3A圖~第3F圖繪示根據本揭示內容的實施例的半導體裝置製造製程的各個階段中之一者。
第4A圖~第4H圖繪示根據本揭示內容的實施例的半導體裝置製造製程的各個階段中之一者。
第5A圖~第5H圖繪示根據本揭示內容的另一個實施例的半導體裝置製造製程的各個階段中之一者。
第6A圖~第6I圖繪示根據本揭示內容的另一個實施例的半導體裝置製造製程的各個階段中之一者。
第7A圖~第7D圖繪示根據本揭示內容的實施例的半導體裝置製造製程的各個階段中之一者。
第8A圖~第8D圖繪示根據本揭示內容的另一實施例的半導體裝置製造製程的各個階段中之一者。
以下揭示內容提供許多不同實施例或實例以用於實現所提供標的物之不同的特徵。下文描述組件及排列之特定實例以簡化本揭露。當然,此等僅僅為實例,並不旨在限制本揭露。例如,元件的尺寸不限於所揭示的值或範圍,而是可以取決於裝置的製程條件和/或期望的性質。此外,在隨後描述中的在第二特徵之上或在第二特徵上形成第一特徵可包括形成直接接觸的第一特徵和第二特徵之實施例,還可以包括在第一特徵與第二特徵之間形成額外特徵,從而使第一特徵和第二特徵不直接接觸之實施例。出於簡化及清楚之目的,各種特徵可以以不同比例任意繪製。在所附圖式中,出於簡化之目的,可以省略一些層/特徵。
另外,空間相對用語,諸如「下方」、「以下」、「下部」、「上方」、「上部」及類似者,在此用於簡化描述附圖所示的一個元件或特徵與另一元件(或多個元件)或特徵(或多個特徵)之關係。除附圖中描繪之方向外,空間相對用語旨在包含於使用或操作中之裝置的不同方向。裝置可為不同之方向(旋轉90度或在其他的方向),並且在此使用之空間相關描述詞也可相應地被解釋。另外,術語「由...所製成」可以表示為「包括」或「由...組成」。此外,在下面的製造製程中,在所描述的操作之間可能存在一或多個額外的操作,並且這些操作的順序可以被改變。
所揭示的實施例涉及在場效電晶體(field effect transistor,FET)的閘極電極的側壁間隔物中具有空氣間隙的半導體及其製造方法。在一些實施例中,場效電晶體是鰭式場效電晶體(fin field-effect transistor,FinFET)。在此揭示的實施例不僅適用於鰭式場效電晶體,亦適用於雙閘極、圍繞閘極、Ω式閘極或全環繞式閘極(gate-all-around,GAA)電晶體、二維場效電晶體和/或奈米線電晶體或具有閘極側壁間隔物的任何合適的裝置。
第1A圖至第1D圖繪示根據本揭示內容的實施例的具有空氣間隙的半導體裝置的各種示意圖。第1B圖和第1C圖繪示根據本揭示內容的實施例的具有與閘極電極相鄰的空氣間隙的半導體裝置的剖面圖和側視圖。第1D圖為對應於第1B圖的區域A1的放大圖。在以下實施例中,可以具有一或多個額外的元件,例如層、部分、區(region)或區域(area),而出於簡化之目的,可能沒有繪示上述元件。
第1A圖至第1D圖繪示執行形成鰭式場效電晶體結構之各種製造操作之後的結構。如第1A圖至第1C圖所示,源極/汲極結構60和包括閘極電極層44和閘極介電層42的閘極結構40形成於基板10之上。在第1A圖至第1D圖中,半導體裝置包括具有一或多個鰭狀結構20的基板10,例如包括兩個鰭狀結構。應理解的是,繪示兩個鰭狀結構是出於說明之目的,而於其他實施例中可以包括任何數量的鰭狀結構。在一些實施例中,一或多個虛設鰭狀結構形成而與主動鰭式場效電晶體的鰭狀結構相鄰。鰭狀結構20沿X方向延伸,並且沿Z方向突出於設置在基板之上的隔離絕緣層30(淺溝槽隔離(shallow trench isolation,STI)),而閘極40則沿Y方向延伸。
根據設計上的需求(例如P-型基板或N-型基板),基板10可以包括各種摻雜區。在一些實施例中,可以摻雜P-型或N-型摻雜劑於摻雜區中。例如,摻雜區可以摻雜有諸如硼或BF2的P-型摻雜劑;諸如磷或砷的N-型摻雜劑;和/或上述之組合。摻雜區可以配置以用於N-型鰭式場效電晶體,或者可替代地配置以用於P-型鰭式場效電晶體。
在一些實施例中,基板10可以由合適的元素半導體或合適的合金或化合物半導體等所製成,元素半導體例如為矽、鑽石或鍺,化合物半導體例如為第IV族化合物半導體(矽鍺(SiGe)、碳化矽(SiC)、碳化矽鍺(SiGeC)、GeSn、SiSn、SiGeSn)或第III-V族化合物半導體(砷化鎵(GaAs)、砷化銦鎵(InGaAs)、砷化銦(InAs)、磷化銦(InP)、銻化銦(InSb)、磷化鎵砷(GaAsP)或磷化鎵銦(GaInP))。此外,基板10可以包括可應變而增強性能的磊晶層和/或可以包括絕緣體上矽(silicon-on-insulator,SOI)結構。
閘極結構40設置於鰭狀結構20的一部分之上。源極/汲極磊晶層60形成於沒有被閘極結構40所覆蓋的鰭狀結構之上。閘極結構40包括閘極電極層44和閘極介電層42。在一些實施例中,閘極電極層44包括選自於由W、Cu、Ti、Ag、Al、TiAl、TiAlN、TaC、TaCN、TaSiN、Mn、Co、Pd、Ni、Re、Ir、Ru、Pt、以及Zr所組成的群組之金屬材料。在一些實施例中,閘極電極層44包括選自於由TiN、WN、TaN、以及Ru所組成的群組之金屬。亦可使用諸如Ti-Al、Ru-Ta、Ru-Zr、Pt-Ti、Co-Ni、以及Ni-Ta的金屬合金和/或可使用諸如WNx、TiNx、MoNx、TaNx、以及TaSixNy的氮化金屬。閘極電極層44可以使用合適的製程,例如原子層沉積(atomic layer deposition,ALD)、化學氣相沉積(chemical vapor deposition,CVD)、物理氣相沉積(physical vapor deposition,PVD)、電鍍或上述之組合來形成。
在本揭示內容的某些實施例中,閘極電極層44包括設置在閘極介電層42上的一或多個功函數調整層(未繪示)。功函數調整層由導電材料所製成,例如TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi或TiAlC的單層、或者上述材料的兩種以上的多層。對於N-型通道鰭式場效電晶體,使用TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi、以及TaSi中之一或多種作為功函數調整層,而對於P-型鰭式場效電晶體,則使用TiAlC、Al、TiAl、TaN、TaAlC、TiN、TiC、以及Co中之一或多種作為功函數調整層。
閘極介電層42包括一或多個高k介電層(例如具有大於3.9的介電常數)。舉例來說,一或多個閘極介電層可以包括金屬氧化物或Hf、Al、Zr、上述之組合的矽酸鹽之一或多層、以及上述之多層。其它合適的材料包括La、Mg、Ba、Ti、Pb、Zr、上述之金屬氧化物形式、金屬合金氧化物形式、以及上述之組合。示例性的材料包括MgOx、BaTixOy、BaSrxTiyOz、PbTixOy、PbZrxTiyOz、SiCN、SiON、SiN、Al2O3、La2O3、Ta2O3、Y2O3、HfO2、ZrO2、HfSiON、YGexOy、YSixOy、以及LaAlO3等。閘極介電層42的形成方法包括分子束沉積(molecular-beam deposition,MBD)、原子層沉積、物理氣相沉積等。在一些實施例中,閘極介電層42具有約0.5nm至約5nm的厚度。
如第1A圖所示,閘極結構40進一步包括側壁間隔物層51。側壁間隔物51包括上部分56和下部分53。下部分53進一步包括第一部分52、第二部分54、以及設置於第一部分52與第二部分54之間的空氣間隙50。相較於第二部 分54,第一部分52的設置更靠近閘極電極44。上部分56大約為側壁間隔物51的全部高度的上面25%,並且不包括空氣間隙。
第1B圖為沿著X方向劃過閘極結構40但沒有劃過鰭狀結構20的剖面圖以及繪示源極/汲極磊晶層60的側面的側視圖之混合示意圖。如第1B圖所示,半導體裝置進一步包括蝕刻停止層(etch-stop layer,ESL)62。蝕刻停止層62覆蓋源極/汲極磊晶層60,並設置於隔離絕緣層30上。設置在源極/汲極磊晶層60的側面上的蝕刻停止層則被省略。
如第1B圖所示,閘極結構40(或側壁間隔物層51的高度)具有從隔離絕緣層30的上表面測量的高度H2。在一些實施例中,從隔離絕緣層30測量的空氣間隙的最高部分的高度H1為H2的約20-70%。在其他實施例中,H1是H2的約30-60%。如上所述,上部分56不具有空氣間隙。換言之,本揭示內容的半導體裝置通常在側壁間隔物的底部中包括空氣間隙。
在一些實施例中,H1等於或大於源極/汲極磊晶層60的高度H5。在其他實施例中,H1小於H5。
第1C圖繪示具有閘極頂蓋絕緣層48的閘極結構40。在此結構中,側壁間隔物層51設置於閘極電極層44和閘極頂蓋絕緣層48的側壁上。在此情形下,於一些實施例中,從隔離絕緣層30測量的空氣間隙的最高部分的高度H1是從隔離絕緣層30的上表面測量的閘極電極層44的高 度H3的約20%或更多。在一些實施例中,空氣間隙50的最高部分低於閘極電極44的最高部分,即H1<H3。在某些實施例中,H1是H3的約50-90%。
如第1D圖所示,在一些實施例中,底部絕緣層55設置於空氣間隙50的底部與隔離絕緣層30之間。在一些實施例中,底部絕緣層55的厚度H4在約0.5nm至約2.0nm的範圍內。在其他實施例中,空氣間隙50的底部暴露(直接接觸)於隔離絕緣層30的上表面。在一些實施例中,空氣間隙的寬度W1(沿X方向上的最大寬度)在約0.5nm至約8.0nm的範圍內。在其它實施例中,W1在約1.0nm至約5.0nm的範圍內。空氣間隙50為在側壁間隔物上形成的一個連續空間,並且應與多孔材料的孔洞相區別。在一些實施例中,H1在約10nm至約70nm的範圍內。在一些實施例中,空氣間隙50的長寬比(H1/W1)在約2至約200的範圍內。
在一些實施例中,如第1C圖所示,空氣間隙50的剖面形狀是細的橢圓形。
第2A圖至第3F圖繪示根據本揭示內容的實施例的半導體裝置製造製程的各個階段。應理解的是,可以在第2A圖至第3F圖所描繪的製程之前、期間、以及之後提供額外的操作,且對於此方法的另外的實施例中,下面描述的一些操作亦可被替換或取消。操作/製程的順序可以互換。與第1A圖至第1D圖描述的實施例相同或相似的材料、配置、尺寸和/或製程可以在以下實施例中採用,並且可能省略了其詳細說明。
第2A圖繪示平面(俯視)圖,第2B圖繪示對應於第2A圖的線X1-X1的剖面圖,而第2C圖繪示對應於第2A圖的線Y1-Y1的剖面圖,這些圖描繪出在通道層(鰭狀結構)之上形成多晶矽閘極電極之後的半導體裝置結構。在一些實施例中,多晶閘極電極是虛設閘極電極,此虛設閘極電極在後續被金屬閘極所取代。
首先,使用例如圖案化製程以形成鰭狀結構120於基板110上。鰭狀結構120可以通過任何合適的方法來圖案化。例如,可以使用一或多種光微影製程(包括雙重圖案化或多重圖案化製程)來圖案化鰭狀結構。一般而言,雙重圖案化或多重圖案化製程結合了光微影和自對準製程,從而製造出的圖案比使用單一、直接光微影製程所獲得的間距具有更小的間距。例如,在一實施例中,形成犧牲層於基板上,並使用光微影製程來圖案化。使用自對準製程沿著圖案化的犧牲層形成間隔物。接著,移除犧牲層,而留下的間隔物或心軸後續則可用於圖案化鰭狀結構。
在形成鰭狀結構之後,設置隔離絕緣層130(淺溝槽隔離)於鰭狀結構120和基板110之上。在形成隔離絕緣區130之前,在一些實施例中,形成一或多個襯墊層於基板110和鰭狀結構120的底部的側壁之上。在一些實施例中,襯墊層包括形成於基板110和鰭狀結構120的底部的側壁上的第一鰭狀襯墊層,以及形成於第一鰭狀襯墊層上的第二鰭狀襯墊層。在一些實施例中,每一個襯墊層具有約1nm至約20nm的厚度。在一些實施例中,第一鰭狀襯墊層包括 氧化矽,並且具有約0.5nm至約5nm的厚度,而第二鰭狀襯墊層包括氮化矽,並且具有約0.5nm至約5nm的厚度。可以通過一或多個製程沉積襯墊層,例如物理氣相沉積、化學氣相沉積或原子層沉積,且亦可使用任何可接受的製程。
隔離絕緣層130可以由合適的介電材料,如氧化矽、氮化矽、氮氧化矽、氟摻雜矽酸鹽玻璃(fluorine-doped silicate glass,FSG)、低k介電質,如碳摻雜氧化物、極低k介電質,如多孔碳摻雜二氧化矽、聚合物,如聚酰亞胺、或上述之組合等所製成。在一些實施例中,隔離絕緣層130通過諸如化學氣相沉積,可流動化學氣相沉積(flowable chemical vapor deposition,FCVD)或旋塗玻璃製程等製程所形成,且亦可使用任何可接受的製程。接著,使用例如蝕刻製程、或化學機械研磨(chemical mechanical polishing,CMP)等來移除於鰭狀結構120的上表面之上延伸的隔離絕緣層130部分以及於鰭狀結構120的上表面之上的襯墊層部分。此外,使隔離絕緣層130凹陷以暴露鰭狀結構120的上部。在一些實施例中,使用單一蝕刻製程或多個蝕刻製程來使隔離絕緣層130凹陷。在隔離絕緣層130由氧化矽所製成的一些實施例中,蝕刻製程可以為例如乾式蝕刻、化學蝕刻或濕式清洗製程。舉例來說,化學蝕刻可以使用含氟化學品,如稀氫氟酸(dilute hydrofluoric acid,dHF)。而其他材料、製程、以及尺寸亦可適用。
在形成鰭狀結構120之後,形成包括虛設閘極介電層和虛設閘極電極層的虛設閘極電極142於暴露的鰭狀結構120之上,鰭狀結構120在後續作為通道層。隨後將使用此虛設閘極介電層和此虛設閘極電極層來界定並形成源極/汲極區。在一些實施例中,通過沉積和圖案化形成於暴露的鰭狀結構120之上的虛設介電層和於此虛設介電層之上的虛設電極層,以形成虛設閘極介電層和虛設閘極電極層。所述虛設介電層可以通過熱氧化、化學氣相沉積、濺射或本領域已知的用於形成虛設介電層的任何其他方法來形成。在一些實施例中,虛設介電層可以由一或多種合適的介電材料,例如氧化矽、氮化矽、SiCN、SiON、以及SiN、低k介電質,如碳摻雜氧化物、極低k介電質,如多孔碳摻雜二氧化矽、聚合物,如聚酰亞胺等、或上述之組合所製成。在一實施例中,虛設介電層由SiO2所製成。
接著,形成虛設閘極電極層於虛設閘極介電層上。在一些實施例中,虛設閘極電極層為導電材料,並且選自於包括非晶矽、多晶矽、非晶鍺、聚鍺、非晶矽鍺、聚矽-鍺、氮化金屬、矽化金屬、氧化金屬、以及金屬的群組。虛設電極層可以通過物理氣相沉積、化學氣相沉積、濺射沉積或本領域已知的用於沉積導電材料的其他技術來沉積。而其他導電和不導電的材料亦可適用。在一實施例中,使用多晶矽作為虛設閘極電極層。
可以形成遮罩圖案於虛設閘極電極層之上以幫助圖案化。遮罩圖案包括第一遮罩層144和設置於第一遮罩 層144上的第二遮罩層146。遮罩圖案包括一或多層SiO2、SiCN、SiON、Al2O3、SiN或其他合適的材料。在一些實施例中,第一遮罩層144包括SiCN或SiOCN,而第二遮罩層146包括氧化矽。藉由將遮罩圖案作為蝕刻遮罩,以圖案化虛設電極層成為虛設閘極電極142。在一些實施例中,亦圖案化虛設介電層以界定虛設閘極介電層。因此,形成了如第2A圖至第2C圖所示的虛設閘極結構140。鰭狀結構120沿X方向延伸,而虛設閘極結構140則沿與X方向大致上垂直的Y方向延伸。在第2A圖至第2C圖中,繪示了兩個鰭狀結構和兩個虛設閘極結構。但是,鰭狀結構和虛設閘極結構的數量並不受限於兩個。
第3A圖至第3F圖繪示根據本揭示內容的實施例的半導體裝置製造製程的各個階段。第3A圖至第3F圖繪示從第2A圖的Y2方向看過去的側視圖,以及對應於第2A圖的線X2-X2的剖面圖。在第3A圖至第3F圖中,繪示了共享相同的鰭狀結構120的P-型鰭式場效電晶體的一區pF以及N-型鰭式場效電晶體的一區nF。然而,這些區並不一定彼此相鄰設置。於兩個鰭狀結構之間可能具有額外的特徵,這些特徵可能共享或不共享一鰭狀結構。
如第3A圖所示,形成P-型鰭式場效電晶體和N-型鰭式場效電晶體的鰭狀結構。接著,如第3B圖所示,於形成側壁間隔物層150於虛設閘極結構140、鰭狀結構120、以及隔離絕緣層130之上後,形成保護層160於側壁間隔物層150之上。
側壁間隔物層150包括一或多個介電層。在一實施例中,如第4A圖所示,側壁間隔物層150包括具有設置於虛設閘極結構140上的第一子層152、設置於第一子層152上的主層154、以及設置於主層154上的第二子層156的三個層。在另一實施例中,如第5A圖所示,側壁間隔物層150包括具有設置於虛設閘極結構140上的第一子層152和設置於第一子層152上的主層154的兩個層。在其他實施例中,如第6A圖所示,側壁間隔物層150包括一個層,即主層154。
主層154由與第一子層152和第二子層156不同的材料所製成。在一些實施例中,主層154、第一子層152、以及第二子層156包括氧化矽、SiN、SiOCN、SiCN、AlO、AlCO或AlCN,或者任何其它合適的介電材料。在某些實施例中,第一子層152和第二子層156包括SiOCN,而主層154包括氮氧化矽。在一些實施例中,第一子層152的厚度在約1nm至約5nm的範圍內,主層154的厚度在約2nm至約10nm的範圍內,第二子層156的厚度在約1nm至約5nm的範圍內。因此,在一些實施例中,側壁間隔物層150的厚度在約2nm至約25nm的範圍內。在某些實施例中,側壁間隔物層150的總厚度在約5nm至約15nm的範圍內。側壁間隔物層150的每一層可以通過化學氣相沉積、物理氣相沉積或原子層沉積所形成。保護層160由與側壁間隔物層150不同的材料所製成,而在一些實施例中,是由SiN所製成。
如第3C圖所示,在形成保護層160之後,形成覆蓋層162覆蓋P-型場效電晶體區pF。在一些實施例中,覆蓋層162為光阻圖案。接著,如第3D圖所示,移除沒有被虛設閘極結構140和覆蓋層162所覆蓋的設置於鰭狀結構120的源極/汲極區之上的保護層160和側壁間隔物層150的部分。之後,如第3E圖所示,在移除覆蓋層162之後,形成源極/汲極磊晶層170於鰭狀結構120的暴露的源極/汲極區之上。如第3F圖所示,在形成P-型鰭式場效電晶體的源極/汲極磊晶層170之後,移除N-型區中的保護層160。在一些實施例中,於如上所述的覆蓋層162還覆蓋P-型區時,形成N-型鰭式場效電晶體的N-型源極/汲極磊晶層170。
作為源極/汲極磊晶層170的材料可對應於N-型或P-型鰭式場效電晶體而改變,從而使作為N-型鰭式場效電晶體之一類型的材料在通道區中發揮拉伸應力(tensile stress),而作為P-型鰭式場效電晶體之另一類型的材料發揮壓縮應力(compressive stress)。舉例來說,SiP或SiC可用於形成N-型鰭式場效電晶體,而SiGe或Ge則可用於形成P-型鰭式場效電晶體。另外,亦可使用其他材料。在一些實施例中,源極/汲極磊晶層170包括具有不同組成和/或不同摻雜濃度的兩個或多個磊晶層。
第4A圖至第4H圖繪示根據本揭示內容的實施例的半導體裝置製造製程的各個階段。應理解的是,可以在第4A圖至第4H圖所描繪的製程之前、期間、以及之後提供額外的操作,且對於此方法的另外的實施例中,下面描述的一些操作亦可被替換或取消。操作/製程的順序可以互換。與第1A圖至第3F圖描述的實施例相同或相似的材料、配置、尺寸和/或製程可以在以下實施例中採用,並且可能省略了其詳細說明。
第4A圖至第4H圖繪示一實施例,採用了三層側壁間隔物層。在第4A圖至第4H圖中,繪示了三個虛設閘極結構和兩個源極/汲極磊晶層170,但是其配置並不受限於此。第4A圖至第4H圖繪示了類似於第3A圖至第3F圖的側視圖和剖面圖。
如第4A圖所示,在形成源極/汲極磊晶層170之後,執行蝕刻操作以移除保護層160。
接著,如第4B圖所示,共形地形成接觸蝕刻停止層(contact etch stop layer,CESL)180於虛設閘極結構上。在一些實施例中,接觸蝕刻停止層180包括由化學氣相沉積和/或原子層沉積所形成的SiN、SiOCN、SiOC或氧化矽。在一些實施例中,使用SiN作為接觸蝕刻停止層180。接觸蝕刻停止層180亦形成於源極/汲極磊晶層170和隔離絕緣層130之上。在一些實施例中,接觸蝕刻停止層180的厚度在約1nm至約5nm的範圍內。
隨後,形成犧牲層185於虛設閘極結構之上。接著,如第4C圖所示,執行諸如回蝕製程或化學機械研磨製程之平坦化操作,直到暴露出遮罩層144。犧牲層185包括非晶矽、非晶鍺、非晶碳或諸如氧化矽之介電材料。在一 些實施例中,使用低k材料或旋塗玻璃(spin-on-glass,SOG)作為犧牲層185。
接著,如第4D圖所示,藉由合適的蝕刻製程使犧牲層185凹陷以暴露出虛設閘極結構的上部。在一些實施例中,犧牲層185的凹陷不足以暴露出源極/汲極磊晶層170上的接觸蝕刻停止層180。
接下來,如第4E圖所示,藉由一或多個合適的蝕刻操作來移除接觸蝕刻停止層180、以及設置於虛設閘極結構的暴露的上部上的側壁間隔物150的第二子層156和主層154。進一步地,亦移除設置於虛設閘極結構的底部上的主層154。由於主層154由與第一子層152和第二子層156不同的材料所製成(例如主層154為氮氧化矽,而第一子層152和第二子層156為SiOCN),因此可以選擇性地移除側壁間隔物150的主層154。藉由移除主層154,而於虛設閘極結構的底部的第一子層152與第二子層156之間形成一空間155。由於空間155僅形成於虛設閘極結構的底部,相較於在虛設閘極結構的幾乎整個側面形成一空間(或空氣間隙)的情況,可以更容易和完全地移除主層154。
如第4F圖所示,在形成空間155之後,藉由形成上側壁間隔物層190以密封空間155。上側壁間隔物層190包括SiCN、SiOCN或SiOC,並且可以藉由原子層沉積和/或化學氣相沉積來形成。在一些實施例中,上側壁間隔物層190由與第一子層152和第二子層156相同的材料所製成。如第4F圖所示,藉由上側壁間隔物層190的形成,形成了空氣間隙200。在某些實施例中,在形成上側壁間隔物層190之前,共形地形成一薄襯墊層於空間155內部。此薄層的材料可以與上側壁間隔物層190的材料相同。藉由調整沉積條件,可以比在空間155中沉積形成一個層更快速地填充空間155的上部開口。
如第4G圖所示,在形成空氣間隙200之後,執行非等向性蝕刻以形成上側壁間隔物190。隨後,如第4H圖所示,移除犧牲層185,然後形成層間介電質(interlayer dielectric,ILD)層205。層間介電質層205包括氧化矽、SiOC、SiCN或任何合適的介電材料。
第5A圖至第5H圖繪示根據本揭示內容的另一實施例的半導體裝置製造製程的各個階段。應理解的是,可以在第5A圖至第5H圖所描繪的製程之前、期間、以及之後提供額外的操作,且對於此方法的另外的實施例中,下面描述的一些操作亦可被替換或取消。操作/製程的順序可以互換。與第1A圖至第4H圖描述的實施例相同或相似的材料、配置、尺寸和/或製程可以在以下實施例中採用,並且可能省略了其詳細說明。
第5A圖至第5H圖繪示一實施例,採用雙層側壁間隔物層。在第5A圖至第5H圖中,繪示了三個虛設閘極結構和兩個源極/汲極磊晶層170,但是其配置並不受限於此。第5A圖至第5H圖繪示了類似於第3A圖至第4H圖的側視圖和剖面圖。
如第5A圖所示,在形成源極/汲極磊晶層170之後,執行蝕刻操作以移除保護層160。
接著,如第5B圖所示,共形地形成接觸蝕刻停止層180於虛設閘極結構之上。在一些實施例中,接觸蝕刻停止層180包括由化學氣相沉積和/或原子層沉積所形成的SiN、SiOCN、SiOC或氧化矽。在一些實施例中,使用SiN作為接觸蝕刻停止層180。亦形成接觸蝕刻停止層180於源極/汲極磊晶層170和隔離絕緣層130之上。
接著,形成犧牲層185於虛設閘極結構之上。然後,如第5C圖所示,執行諸如回蝕製程或化學機械研磨製程的平坦化操作,直到暴露出第一遮罩層144。犧牲層185包括非晶矽、非晶鍺、非晶碳或諸如氧化矽之介電材料。可以使用低k材料或旋塗玻璃作為犧牲層185。
接著,如第5D圖所示,藉由合適的蝕刻製程使犧牲層185凹陷以暴露出虛設閘極結構的上部。在一些實施例中,犧牲層185的凹陷不足以暴露出源極/汲極磊晶層170上的接觸蝕刻停止層180。
接下來,如第5E圖所示,藉由一或多個合適的蝕刻操作來移除接觸蝕刻停止層180和設置於虛設閘極結構的暴露的上部上的側壁間隔物150的主層154。進一步地,亦移除設置於虛設閘極結構的底部上的主層154。由於主層154由與第一子層152和接觸蝕刻停止層180不同的材料所製成(例如主層154為氮氧化矽,第一子層152為SiOCN,而接觸蝕刻停止層180為SiN),因此可以選擇性地移除側壁間隔物150的主層154。藉由移除主層154,而於虛設閘極結構的底部的第一子層152與接觸蝕刻停止層180之間形成一空間155。
如第5F圖所示,在形成空間155之後,藉由形成上側壁間隔物層190以密封空間155。上側壁間隔物層190包括SiCN、SiOCN或SiOC,並且可以藉由原子層沉積和/或化學氣相沉積來形成。在一些實施例中,上側壁間隔物層190由與第一子層152相同的材料所製成。如第5F圖所示,藉由上側壁間隔物層190的形成,形成了空氣間隙200。在某些實施例中,在形成上側壁間隔物層190之前,共形地形成一薄襯墊層於空間155內部。此薄層的材料可以與上側壁間隔物層190的材料相同。
如第5G圖所示,在形成空氣間隙200之後,執行非等向性蝕刻以形成上側壁間隔物190。隨後,如第5H圖所示,移除犧牲層185,然後形成層間介電質層205。層間介電質層205包括氧化矽、SiOC、SiCN或任何合適的介電材料。
第6A圖至第6I圖繪示根據本揭示內容的另一實施例的半導體裝置製造製程的各個階段。應理解的是,可以在第6A圖至第6I圖所描繪的製程之前、期間、以及之後提供額外的操作,且對於此方法的另外的實施例中,下面描述的一些操作亦可被替換或取消。操作/製程的順序可以互換。與第1A圖至第5H圖描述的實施例相同或相似的材料、配置、尺寸和/或製程可以在以下實施例中採用,並且可能省略了其詳細說明。
第6A圖至第6I圖繪示一實施例,採用單層側壁間隔物層。在第6A圖至第6I圖中,繪示了三個虛設閘極結構和兩個源極/汲極磊晶層170,但是其配置並不受限於此。第6A圖至第6I圖繪示了類似於第3A圖至第5H圖的側視圖和剖面圖。
如第6A圖所示,在形成源極/汲極磊晶層170之後,執行蝕刻操作以移除保護層160。
接著,如第6B圖所示,共形地形成接觸蝕刻停止層180於虛設閘極結構之上。在一些實施例中,接觸蝕刻停止層180包括由化學氣相沉積和/或原子層沉積所形成的SiN、SiOCN、SiOC或氧化矽。在一些實施例中,使用SiN作為接觸蝕刻停止層180。亦形成接觸蝕刻停止層180於源極/汲極磊晶層170和隔離絕緣層130之上。
接著,形成犧牲層185於虛設閘極結構之上。然後,如第6C圖所示,執行諸如回蝕製程或化學機械研磨製程的平坦化操作,直到暴露出第一遮罩層144。犧牲層185包括非晶矽、非晶鍺、非晶碳或諸如氧化矽之介電材料。可以使用低k材料或旋塗玻璃作為犧牲層185。
接著,如第6D圖所示,藉由合適的蝕刻製程使犧牲層185凹陷以暴露出虛設閘極結構的上部。在一些實施例中,犧牲層185的凹陷不足以暴露出源極/汲極磊晶層170上的接觸蝕刻停止層180。
接下來,如第6E圖所示,藉由一或多個合適的蝕刻操作來移除接觸蝕刻停止層180和設置於虛設閘極結構的暴露的上部上的側壁間隔物150的主層154。進一步地,亦移除設置於虛設閘極結構的底部上的主層154。由於主層154由與接觸蝕刻停止層180不同的材料所製成(例如主層154為氮氧化矽,而接觸蝕刻停止層180為SiN),因此可以選擇性地移除主層154。藉由移除主層154,而於虛設閘極結構的底部的虛設閘極電極142與接觸蝕刻停止層180之間形成一空間155。
在一些實施例中,如第6F圖所示,共形地形成一薄襯墊層192於空間155內部和虛設閘極結構的上部。薄襯墊層192的材料可以為SiCN或SiOC,並且可以藉由原子層沉積和/或化學氣相沉積來形成。在一些實施例中,薄襯墊層192的厚度在約1nm至約5nm的範圍內。
如第6G圖所示,藉由形成上側壁間隔物層190來密封空間155。上側壁間隔物層190包括SiCN、SiOCN或SiOC,並且可以藉由原子層沉積和/或化學氣相沉積來形成。在一些實施例中,上側壁間隔物層190由與薄襯墊層192相同的材料所製成。如第6G圖所示,藉由上側壁間隔物層190的形成,形成了空氣間隙200。
如第6H圖所示,在形成空氣間隙200之後,執行非等向性蝕刻以形成上側壁間隔物190。隨後,如第6I圖所示,移除犧牲層185,然後形成層間介電質層205。層間介電質層205包括氧化矽、SiOC、SiCN或任何合適的介電材料。
第7A圖至第7D圖繪示根據本揭示內容的實施例的半導體裝置製造製程的各個階段。應理解的是,可以在第7A圖至第7D圖所描繪的製程之前、期間、以及之後提供額外的操作,且對於此方法的另外的實施例中,下面描述的一些操作亦可被替換或取消。操作/製程的順序可以互換。與第1A圖至第6I圖描述的實施例相同或相似的材料、配置、尺寸和/或製程可以在以下實施例中採用,並且可能省略了其詳細說明。
第7A圖至第7D圖繪示利用閘極替代技術形成金屬閘極結構的各個階段。第7A圖至第7D圖繪示了類似於第3A圖至第6I圖的側視圖和剖面圖。
在形成如第4H圖、第5H圖或第6I圖所示的結構之後,如第7A圖所示,執行諸如化學機械研磨的平坦化操作以移除第一遮罩層144。第7A圖揭示了側壁間隔物層150包括三層的情形。應理解的是,下面將描述的閘極替代技術對於其他情形(例如兩層或單層側壁間隔物層)基本上是相同的,而以下實施例是藉由使用三層的情形來解釋金屬閘極形成操作。
接著,如第7B圖所示,移除虛設閘極142(虛設閘極電極和虛設閘極介電層),從而形成閘極空間149。移除製程可以包括一或多個蝕刻製程。例如,在一些實施例中,移除製程包括使用乾式蝕刻或濕式蝕刻的選擇性蝕刻。當使用乾式蝕刻時,製程氣體可以包括CF4、CHF3、NF3、SF6、Br2、HBr、Cl2或上述之組合。可以選擇性地使用諸如N2、O2或Ar之稀釋氣體。當使用濕式蝕刻時,蝕刻溶液(蝕刻劑)可以包括NH4OH:H2O2:H2O(APM)、NH2OH、KOH和/或HNO3:NH4F:H2O等。可以使用濕式蝕刻製程來移除虛設閘極介電層,而濕式蝕刻可以使用稀釋的HF酸。亦可使用其他製程和材料。
接著,如第7C圖所示,形成閘極介電層225和金屬閘極電極220於閘極空間149中。閘極介電層225的形成方法包括分子束沉積(molecular-beam deposition,MBD)、原子層沉積、以及物理氣相沉積等。在一些實施例中,可以在形成閘極介電層225之前,形成界面層(未繪示),而閘極介電層225則形成於此界面層之上。界面層有助於緩衝後續形成的高k介電層與底下的半導體材料。在一些實施例中,界面層為化學氧化矽,其可以藉由化學反應來形成。例如,可使用去離子水+臭氧(DIO3)、NH4OH+H2O2+H2O(APM)或其他方法來形成化學氧化矽。其它實施例則可以利用不同的材料或製程來形成界面層。在一實施例中,界面層具有約0.2nm至約1nm的厚度。可以使用合適的製程(例如原子層沉積、化學氣相沉積、物理氣相沉積、電鍍或上述之組合)來形成閘極電極層220。可以執行諸如化學機械研磨的平坦化製程以移除多餘的材料。
在一些實施例中,如第7D圖所示,形成閘極頂蓋絕緣層230。使金屬閘極電極220和閘極介電層225凹陷,然後形成絕緣材料層。執行諸如化學機械研磨的平坦化製程以移除多餘的絕緣材料。在一些實施例中,閘極頂蓋絕緣層包括SiO2、SiCN、SiON、SiN、Al2O3、La2O3或上述之組合等,但也可以使用其他合適的介電膜。
在形成金屬閘極結構之後,執行進一步的互補式金屬氧化物半導體(complementary metal oxide semiconductor,CMOS)製程以形成各種特徵,例如額外的層間介電質層、接觸件/通孔、互連金屬層、以及鈍化層等。
第8A圖至第8D圖繪示根據本揭示內容的實施例的半導體裝置製造製程的各個階段。應理解的是,可以在第8A圖至第8D圖所描繪的製程之前、期間、以及之後提供額外的操作,且對於此方法的另外的實施例中,下面描述的一些操作亦可被替換或取消。操作/製程的順序可以互換。與第1A圖至第7D圖描述的實施例相同或相似的材料、配置、尺寸和/或製程可以在以下實施例中採用,並且可能省略了其詳細說明。第8A圖至第8D圖繪示了類似於第3A圖至第7D圖的側視圖和剖面圖。
在前述實施例中,在形成金屬閘極結構(閘極替代製程)之前,形成空氣間隙。在以下實施例中,則是在形成金屬閘極結構之後,形成空氣間隙。
如第8A圖所示,在形成第4B圖(或第5B圖或第6B圖)的結構之後,形成層間電介質層186,並且執行諸如化學機械研磨的平坦化操作以暴露出虛設閘極142的上表面。在一些實施例中,層間介電質層186可以是與犧牲層 185相似的犧牲層。第8A圖揭示出側壁間隔物層150包括三層的情形。然而,下面將描述的操作對於其他情形(例如兩層或單層側壁間隔物層)基本上是相同的,而以下實施例是藉由使用三層的情形來解釋空氣間隙形成操作。
如第8B圖所示,接著,類似於第7B圖,移除虛設閘極142,並且類似於第7D圖,形成具有閘極介電層226、金屬閘極221、以及閘極頂蓋絕緣層231的金屬閘極結構。
接下來,如第8C圖所示,類似於第4E圖,藉由一或多個合適的蝕刻操作來移除接觸蝕刻停止層180、以及設置於閘極結構的暴露的上部上的側壁間隔物150的第二子層156和主層154。此外,亦移除設置於虛設閘極結構的底部上的主層154。藉由移除主層154,而於虛設閘極結構的底部的第一子層152與第二子層156之間形成一空間155。
接著,如第8D圖所示,通過類似於第4F圖和第4G圖的操作,形成空氣間隙200和上側壁間隔物190。如果層185是層間介電質層,則隨後形成額外的層間介電質層於層間介電質層185上。如果層185是犧牲層,則移除犧牲層185並形成新的層間介電質層。
在形成空氣間隙之後,進行進一步的互補式金屬氧化物半導體製程以形成各種特徵,例如額外的層間介電質層、接觸件/通孔、互連金屬層、以及鈍化層等。
應該理解的是,並非所有的優點都必須在此討論,另外,對於所有的實施例或實例都不需要特別的優點,並且其他的實施例或實例可以提供不同的優點。
例如,在本揭示內容中,在閘極電極底部的側壁間隔物層中形成空氣間隙,可以減小側壁間隔物的有效介電常數,從而可以降低寄生電容。因此,能夠改善半導體裝置的高頻性能。此外,空氣間隙僅設置於閘極電極的底部,並且側壁間隔物的上部不包括空氣間隙。因此,當形成接觸件於閘極電極和/或源極/汲極磊晶層上時,即使發生接觸件和閘極電極和/或源極/汲極磊晶層之間的錯位,接觸件的材料也不會滲透到空氣間隙內。
根據本揭示內容的一態樣,在製造半導體裝置的方法中,形成閘極結構於通道層和隔離絕緣層之上。形成第一側壁間隔物層於閘極結構的側表面上。形成犧牲層以使具有第一側壁間隔物層的閘極結構的上部從犧牲層中暴露出來,而具有第一側壁間隔物層的閘極結構的底部則嵌於犧牲層內。藉由移除至少一部分的第一側壁間隔物層,從而形成一空間於閘極結構的底部與犧牲層之間。在移除第一側壁間隔物層之後,藉由形成第二側壁間隔物層於閘極結構之上,從而形成一空氣間隙於閘極結構的底部與犧牲層之間。在一或多個前述或以下實施例中,並沒有空氣間隙形成於閘極結構的上部的側表面上。在一或多個前述或以下實施例中,在形成犧牲層之前,進一步形成襯墊層於第一側壁間隔物層之上。所述空間是形成於襯墊層與閘極結構的底部之 間。在一或多個前述或以下實施例中,在形成空氣間隙之後,移除犧牲層,以及形成層間介電質層。在一或多個前述或以下實施例中,犧牲層包括選自於由非晶矽、非晶碳、以及非晶鍺所組成的群組中的至少一個。在一或多個前述或以下實施例中,在形成第二側壁間隔物層之前,形成一襯墊層至少於所述空間中,以免完全填滿所述空間。在一或多個前述或以下實施例中,所述第一側壁間隔物層包括SiOCN。
根據本揭示內容的另一態樣,在製造半導體裝置的方法中,形成閘極結構於鰭狀結構的通道層和隔離絕緣層之上。形成第一側壁間隔物層於閘極結構的側表面上,此第一側壁間隔物層包括一主層。形成襯墊層於第一側壁間隔物層之上。形成犧牲層以使具有第一側壁間隔物層的閘極結構的上部及襯墊層從犧牲層中暴露出來,而具有第一側壁間隔物層的閘極結構的底部及襯墊層則嵌於犧牲層內。藉由移除第一側壁間隔物層的主層,形成一空間於閘極結構的底部與襯墊層之間。在移除第一側壁間隔物層之後,藉由形成第二側壁間隔物層,形成一空氣間隙於閘極結構的底部與襯墊層之間。在一或多個前述或以下實施例中,犧牲層形成以使鰭狀結構亦嵌於犧牲層內。在一或多個前述或以下實施例中,第一側壁間隔物層進一步包括一或多個子層,一或多個子層中的每一者皆由與所述主層不同的材料所製成,一或多個子層中的一者形成於閘極結構的側表面上,以及所述空間形成於設置在閘極結構的底部上的一或多個子層中的一者與襯墊層之間。在一或多個前述或以下實施例中,主層比一 或多個子層中的每一者更厚或更薄。在一或多個前述或以下實施例中,主層由選自於氧化矽、氮化矽、SiOCN、以及絕緣金屬氧化物的群組中的一者所製成。在一或多個前述或以下實施例中,所述一或多個子層由SiOCN所製成。在一或多個前述或以下實施例中,第一側壁間隔物層進一步包括設置於閘極結構上的第一子層和第二子層,第一子層和第二子層由與所述主層不同的材料所製成,主層設置於第一子層與第二子層之間,以及所述空間形成於設置在閘極結構的底部上的第一子層與襯墊層之間。在一或多個前述或以下實施例中,第一側壁間隔物層由所述主層所組成。在一或多個前述或以下實施例中,在形成第二側壁間隔物層之前,形成一襯墊層至少於所述空間中,以免完全填滿所述空間。在一或多個前述或以下實施例中,當形成所述空間時,被犧牲層所暴露出的襯墊層的上部亦被移除。在一或多個前述或以下實施例中,閘極結構為虛設閘極結構,以及在形成空氣間隙之後,所述方法進一步包括,形成層間介電質層、移除虛設閘極結構,從而形成一閘極空間、以及形成金屬閘極結構於此閘極空間中。在一或多個前述或以下實施例中,在形成層間介電質層之前,移除所述犧牲層。
根據本揭示內容的另一態樣,在製造半導體裝置的方法中,形成閘極結構於鰭狀結構的通道層和隔離絕緣層之上。形成源極磊晶層和汲極磊晶層於沒有被閘極結構所覆蓋的鰭狀結構之上。形成側壁間隔物層於閘極結構的側表面上。形成犧牲層以使具有第一側壁間隔物層的閘極結構的 上部從犧牲層中暴露出來,而具有第一側壁間隔物層的閘極結構的底部及源極和汲極磊晶層則嵌於犧牲層內。藉由移除至少一部分的第一側壁間隔物層,而形成一空間於閘極結構的底部與犧牲層之間,從而使隔離絕緣層的上表面的一部分暴露於所述空間中。在移除第一側壁間隔物層之後,藉由形成第二側壁間隔物層於閘極結構之上,從而在閘極結構的底部與犧牲層之間形成一空氣間隙。
根據本揭示內容的一態樣,半導體裝置包括設置於通道層和隔離絕緣層之上的閘極電極,以及設置於閘極電極的相對的主側表面上和隔離絕緣層之上的多個側壁間隔物層。側壁間隔物層包括下層和設置於下層上的上層,並且下層包括空氣間隙。在一或多個前述或以下實施例中,上層不包括空氣間隙。在一或多個前述或以下實施例中,從隔離絕緣層起算,至空氣間隙的最高部分的高度為側壁間隔物層的高度的20-70%。在一或多個前述或以下實施例中,從隔離絕緣層起算,至空氣間隙的最高部分的高度為閘極電極的高度的20-90%。在一或多個前述或以下實施例中,側壁間隔物由SiOCN所製成。在一或多個前述或以下實施例中,一絕緣層設置於空氣間隙的底部與隔離絕緣層之間。在一或多個前述或以下實施例中,絕緣層的厚度在0.5nm至2.0nm的範圍內。在一或多個前述或以下實施例中,上層由與下層不同的材料所製成。在一或多個前述或以下實施例中,空氣間隙的寬度在0.5nm至8.0nm的範圍內。在一或多個前述或以下實施例中,半導體裝置進一步包括設置於下層上的襯墊層。在一或多個前述或以下實施例中,襯墊層是由與下層不同的材料所製成。
根據本揭示內容的另一態樣,半導體裝置包括設置於通道層和隔離絕緣層之上並具有閘極電極層和絕緣頂蓋層的閘極結構,以及設置於閘極結構的相對的主側表面上和隔離絕緣層之上的側壁間隔物層。側壁間隔物層包括下層以及設置於下層上的上層,並且下層包括空氣間隙。在一或多個前述或以下實施例中,上層不包括空氣間隙。在一或多個前述或以下實施例中,從隔離絕緣層起算,至空氣間隙的最高部分的高度為側壁間隔物層的高度的20-70%。在一或多個前述或以下實施例中,空氣間隙的最高部分低於閘極電極的最高部分。在一或多個前述或以下實施例中,半導體裝置進一步包括源極磊晶層和汲極磊晶層,並且空氣間隙的最高部分高於源極或汲極磊晶層的最高部分,或者與源極或汲極磊晶層的最高部分一樣高。在一或多個前述或以下實施例中,從隔離絕緣層起算,至空氣間隙的最高部分的高度為閘極電極的高度的50-90%。在一或多個前述或以下實施例中,側壁間隔物由SiOCN所製成。在一或多個前述或以下實施例中,空氣間隙的寬度在約0.5nm至約8.0nm的範圍內。
根據本揭示內容的另一態樣,半導體裝置包括設置於一或多個鰭狀結構和隔離絕緣層之上的閘極結構,其中所述一或多個鰭狀結構的底部嵌於隔離絕緣層內,以及設置於閘極結構的相對的主側表面上和隔離絕緣層之上的側 壁間隔物層。側壁間隔物層包括位於閘極結構底部的空氣間隙。
上文概述若干實施例之特徵,使得熟習此項技術者可更好地理解本揭露之態樣。熟習此項技術者應瞭解,可輕易使用本揭露作為設計或修改其他製程及結構的基礎,以便實施本文所介紹之實施例的相同目的及/或實現相同優勢。熟習此項技術者亦應認識到,此類等效結構並未脫離本揭露之精神及範疇,且可在不脫離本揭露之精神及範疇的情況下產生本文的各種變化、替代及更改。
10‧‧‧基板
20‧‧‧鰭狀結構
30‧‧‧隔離絕緣層
40‧‧‧閘極結構
42‧‧‧閘極介電層
44‧‧‧閘極電極層
50‧‧‧空氣間隙
51‧‧‧側壁間隔物
52‧‧‧第一部分
53‧‧‧下部分
54‧‧‧第二部分
56‧‧‧上部分
60‧‧‧源極/汲極結構

Claims (9)

  1. 一種製造半導體裝置的方法,包括:形成一閘極結構於一通道層和一隔離絕緣層之上;形成一第一側壁間隔物層於該閘極結構的一側表面上;形成一襯墊層於該第一側壁間隔物層之上;在形成該襯墊層之後,形成一犧牲層以使具有該第一側壁間隔物層的該閘極結構的一上部從該犧牲層中暴露出來,而具有該第一側壁間隔物層的該閘極結構的一底部則嵌於該犧牲層內;藉由移除至少一部分的該第一側壁間隔物層,形成一空間於該閘極結構的該底部與該襯墊層之間;以及在移除該第一側壁間隔物層之後,藉由形成一第二側壁間隔物層於該閘極結構之上,形成一空氣間隙於該閘極結構的該底部與該犧牲層之間。
  2. 如申請專利範圍第1項之製造半導體裝置的方法,其中沒有空氣間隙形成於該閘極結構的該上部的一側表面上。
  3. 如申請專利範圍第1項之製造半導體裝置的方法,在形成該第二側壁間隔物層之前,形成一襯墊層至少於該空間中,以免完全填滿該空間。
  4. 一種製造半導體裝置的方法,包括: 形成一閘極結構於一鰭狀結構的一通道層和一隔離絕緣層之上;形成一第一側壁間隔物層於該閘極結構的一側表面上,該第一側壁間隔物層包括一主層;形成一襯墊層於該第一側壁間隔物層之上;形成一犧牲層以使具有該第一側壁間隔物層的該閘極結構的一上部及該襯墊層從該犧牲層中暴露出來,而具有該第一側壁間隔物層的該閘極結構的一底部及該襯墊層則嵌於該犧牲層內;藉由移除該第一側壁間隔物層的該主層,形成一空間於該閘極結構的該底部與該襯墊層之間;以及在移除該第一側壁間隔物層之後,藉由形成一第二側壁間隔物層,形成一空氣間隙於該閘極結構的該底部與該襯墊層之間。
  5. 如申請專利範圍第4項之製造半導體裝置的方法,其中該犧牲層形成以使該鰭狀結構亦嵌於該犧牲層內。
  6. 如申請專利範圍第4項之製造半導體裝置的方法,其中:該第一側壁間隔物層進一步包括一或多個子層,該些子層中的每一者皆由與該主層不同的材料所製成,該些子層中的一者形成於該閘極結構的該側表面上,以及 該空間形成於設置在該閘極結構的該底部上的該些子層中的一者與該襯墊層之間。
  7. 如申請專利範圍第4項之製造半導體裝置的方法,其中:該第一側壁間隔物層進一步包括設置於該閘極結構上的一第一子層和一第二子層,該第一子層和該第二子層由與該主層不同的材料所製成,該主層設置於該第一子層與該第二子層之間,以及該空間形成於設置在該閘極結構的該底部上的該第一子層與該襯墊層之間。
  8. 如申請專利範圍第4項之製造半導體裝置的方法,其中:該閘極結構為一虛設閘極結構,以及在形成該空氣間隙之後,該方法進一步包括:形成一層間介電質層;移除該虛設閘極結構,從而形成一閘極空間;以及形成一金屬閘極結構於該閘極空間中。
  9. 一種半導體裝置,包括:設置於一通道層和一隔離絕緣層之上的一閘極電極;以及 設置於該閘極電極的相對的主側表面上和該隔離絕緣層之上的複數個側壁間隔物層,其中該些側壁間隔物層包括一下層和設置於該下層上的一上層,並且該下層包括一空氣間隙,且該側壁間隔物層的一部份位於該空氣間隙與該隔離絕緣層之間,且該空氣間隙之上表面低於該閘極電極之上表面。
TW106143245A 2017-08-29 2017-12-08 半導體裝置及其製造方法 TWI685887B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762551669P 2017-08-29 2017-08-29
US62/551,669 2017-08-29
US15/801,171 2017-11-01
US15/801,171 US10510860B2 (en) 2017-08-29 2017-11-01 Semiconductor device and method of manufacturing the same

Publications (2)

Publication Number Publication Date
TW201913759A TW201913759A (zh) 2019-04-01
TWI685887B true TWI685887B (zh) 2020-02-21

Family

ID=65437753

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106143245A TWI685887B (zh) 2017-08-29 2017-12-08 半導體裝置及其製造方法

Country Status (4)

Country Link
US (4) US10510860B2 (zh)
KR (1) KR102043360B1 (zh)
CN (1) CN109427899B (zh)
TW (1) TWI685887B (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10483372B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US10388570B2 (en) * 2017-12-18 2019-08-20 International Business Machines Corporation Substrate with a fin region comprising a stepped height structure
US10833165B2 (en) * 2018-04-30 2020-11-10 International Business Machines Corporation Asymmetric air spacer gate-controlled device with reduced parasitic capacitance
US10608096B2 (en) * 2018-06-11 2020-03-31 International Business Machines Corporation Formation of air gap spacers for reducing parasitic capacitance
CN111834226A (zh) * 2019-04-23 2020-10-27 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11211493B2 (en) 2019-06-18 2021-12-28 Samsung Electronics Co., Ltd. Apparatus and method of modulating threshold voltage for fin field effect transistor (FinFET) and nanosheet FET
US10937884B1 (en) * 2019-09-16 2021-03-02 Taiwan Semiconductor Manufacturing Co., Ltd. Gate spacer with air gap for semiconductor device structure and method for forming the same
US12009266B2 (en) 2019-12-18 2024-06-11 Taiwan Semiconductor Manufacturing Co., Ltd. Structure for fringing capacitance control
DE102021107477A1 (de) * 2020-05-26 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren zu deren herstellung
US11349014B2 (en) * 2020-06-30 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Air spacer and method of forming same
CN111952184B (zh) * 2020-08-21 2024-04-12 中国科学院上海微系统与信息技术研究所 基于图形化埋层介质层的环栅场效应晶体管的制备方法

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020163036A1 (en) * 2001-05-01 2002-11-07 Mitsubishi Denki Kabushiki Kaisha Semiconductor Device
TW201426907A (zh) * 2012-12-28 2014-07-01 Sk Hynix Inc 具有自對準氣隙的半導體裝置及其製造方法
US20150091089A1 (en) * 2013-09-30 2015-04-02 Stmicroelectronics (Crolles 2) Sas Air-spacer mos transistor
US20150179651A1 (en) * 2013-12-20 2015-06-25 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
TW201712866A (zh) * 2015-09-18 2017-04-01 台灣積體電路製造股份有限公司 半導體結構及其製造方法
US20170162650A1 (en) * 2015-12-03 2017-06-08 International Business Machines Corporation Finfet with reduced parasitic capacitance
TW201725701A (zh) * 2016-01-12 2017-07-16 美光科技公司 記憶體裝置及其製造方法

Family Cites Families (13)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20050121521A (ko) 2004-06-22 2005-12-27 삼성전자주식회사 에어 갭을 갖는 반도체 소자의 제조 방법
DE102008059501B4 (de) * 2008-11-28 2012-09-20 Advanced Micro Devices, Inc. Technik zur Verbesserung des Dotierstoffprofils und der Kanalleitfähigkeit durch Millisekunden-Ausheizprozesse
US20120199886A1 (en) * 2011-02-03 2012-08-09 International Business Machines Corporation Sealed air gap for semiconductor chip
US8637930B2 (en) 2011-10-13 2014-01-28 International Business Machines Company FinFET parasitic capacitance reduction using air gap
KR101967614B1 (ko) 2012-07-20 2019-04-10 삼성전자 주식회사 반도체 소자 제조 방법
CN103715134B (zh) * 2012-09-29 2016-05-25 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US9064948B2 (en) * 2012-10-22 2015-06-23 Globalfoundries Inc. Methods of forming a semiconductor device with low-k spacers and the resulting device
US9190486B2 (en) * 2012-11-20 2015-11-17 Globalfoundries Inc. Integrated circuits and methods for fabricating integrated circuits with reduced parasitic capacitance
JP6154215B2 (ja) * 2013-06-28 2017-06-28 株式会社日立国際電気 半導体装置の製造方法、基板処理装置およびプログラム
US9443956B2 (en) 2014-12-08 2016-09-13 Globalfoundries Inc. Method for forming air gap structure using carbon-containing spacer
US9559184B2 (en) 2015-06-15 2017-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Devices including gate spacer with gap or void and methods of forming the same
TWI663729B (zh) * 2016-01-05 2019-06-21 聯華電子股份有限公司 半導體結構及其製造方法
CN116705613A (zh) 2016-05-05 2023-09-05 蓝枪半导体有限责任公司 半导体元件及其制作方法

Patent Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20020163036A1 (en) * 2001-05-01 2002-11-07 Mitsubishi Denki Kabushiki Kaisha Semiconductor Device
TW201426907A (zh) * 2012-12-28 2014-07-01 Sk Hynix Inc 具有自對準氣隙的半導體裝置及其製造方法
US20150091089A1 (en) * 2013-09-30 2015-04-02 Stmicroelectronics (Crolles 2) Sas Air-spacer mos transistor
US20150179651A1 (en) * 2013-12-20 2015-06-25 Samsung Electronics Co., Ltd. Semiconductor device and method of manufacturing the same
TW201712866A (zh) * 2015-09-18 2017-04-01 台灣積體電路製造股份有限公司 半導體結構及其製造方法
US20170162650A1 (en) * 2015-12-03 2017-06-08 International Business Machines Corporation Finfet with reduced parasitic capacitance
TW201725701A (zh) * 2016-01-12 2017-07-16 美光科技公司 記憶體裝置及其製造方法

Also Published As

Publication number Publication date
CN109427899B (zh) 2022-06-28
CN109427899A (zh) 2019-03-05
US20200027960A1 (en) 2020-01-23
US10756197B2 (en) 2020-08-25
KR102043360B1 (ko) 2019-11-12
US20200388692A1 (en) 2020-12-10
US11031481B2 (en) 2021-06-08
US20200035805A1 (en) 2020-01-30
US20190067442A1 (en) 2019-02-28
TW201913759A (zh) 2019-04-01
US10510860B2 (en) 2019-12-17
US10756196B2 (en) 2020-08-25
KR20190024526A (ko) 2019-03-08

Similar Documents

Publication Publication Date Title
TWI685887B (zh) 半導體裝置及其製造方法
US10854458B2 (en) Method and structure for semiconductor device having gate spacer protection layer
CN108122846B (zh) 包括鳍式场效应晶体管的半导体器件及其形成方法
US11158742B2 (en) Method of manufacturing a semiconductor device with multilayered channel structure
US10748898B2 (en) Metal gate structure and methods thereof
KR102055810B1 (ko) 반도체 디바이스를 제조하는 방법 및 반도체 디바이스
KR101560871B1 (ko) 핀펫을 위한 게이트 콘택트 구조
US11222892B2 (en) Backside power rail and methods of forming the same
KR101951088B1 (ko) 자기 정렬 메탈 게이트 에치 백 프로세스 및 디바이스
US20120112252A1 (en) Semiconductor structure and method for manufacturing the same
US20220352037A1 (en) Methods Of Forming Metal Gate Spacer
TWI787787B (zh) 半導體電晶體裝置及形成半導體電晶體裝置的方法
KR20190022253A (ko) 반도체 디바이스에서의 소스/드레인 콘택트 형성 방법
TWI801990B (zh) 電晶體裝置與其製造方法
EP3945600A1 (en) Multi-gate device and related methods
US20230411213A1 (en) Semiconductor device and method for fabricating the same
CN113113413A (zh) 半导体装置的形成方法
CN114551354A (zh) 半导体结构及其形成方法