TWI671834B - 半導體裝置之製造方法、以及表面覆膜之形成方法及形成裝置 - Google Patents

半導體裝置之製造方法、以及表面覆膜之形成方法及形成裝置 Download PDF

Info

Publication number
TWI671834B
TWI671834B TW104130820A TW104130820A TWI671834B TW I671834 B TWI671834 B TW I671834B TW 104130820 A TW104130820 A TW 104130820A TW 104130820 A TW104130820 A TW 104130820A TW I671834 B TWI671834 B TW I671834B
Authority
TW
Taiwan
Prior art keywords
film
interlayer insulating
insulating film
surface coating
substituent
Prior art date
Application number
TW104130820A
Other languages
English (en)
Other versions
TW201622029A (zh
Inventor
浅子竜一
Original Assignee
日商東京威力科創股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 日商東京威力科創股份有限公司 filed Critical 日商東京威力科創股份有限公司
Publication of TW201622029A publication Critical patent/TW201622029A/zh
Application granted granted Critical
Publication of TWI671834B publication Critical patent/TWI671834B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C8/00Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals
    • C23C8/06Solid state diffusion of only non-metal elements into metallic material surfaces; Chemical surface treatment of metallic material by reaction of the surface with a reactive gas, leaving reaction products of surface material in the coating, e.g. conversion coatings, passivation of metals using gases
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76814Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics post-treatment or after-treatment, e.g. cleaning or removal of oxides on underlying conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76822Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc.
    • H01L21/76826Modification of the material of dielectric layers, e.g. grading, after-treatment to improve the stability of the layers, to increase their density etc. by contacting the layer with gases, liquids or plasmas
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2221/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof covered by H01L21/00
    • H01L2221/10Applying interconnections to be used for carrying current between separate components within a device
    • H01L2221/1005Formation and after-treatment of dielectrics
    • H01L2221/1052Formation of thin functional dielectric layers
    • H01L2221/1057Formation of thin functional dielectric layers in via holes or trenches
    • H01L2221/1063Sacrificial or temporary thin dielectric films in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/0001Technical content checked by a classifier
    • H01L2924/0002Not covered by any one of groups H01L24/00, H01L24/00 and H01L2224/00

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

準備具有層間絕緣膜的被處理基板(步驟1),對於層間絕緣膜隔著遮罩層實施乾式刻蝕從而形成凹部(步驟2),藉乾式灰化除去殘渣(步驟3),藉使用在其中一末端及另一末端分別具有與層間絕緣膜的表面反應而結合的第1取代基、是親水性的第2取代基的分子構造的表面覆膜用化合物氣體的氣體處理而於整面形成表面覆膜(步驟4),藉濕式洗淨除去表面覆膜(步驟5),於凹部內形成配線(步驟6)。

Description

半導體裝置之製造方法、以及表面覆膜之形成方法及形成裝置
本發明,係關於於層間絕緣膜形成凹部而於其中形成配線以製造半導體裝置的半導體裝置之製造方法、以及使用於其的表面覆膜的形成方法及形成裝置。
近來,因應半導體裝置的高速化、配線圖案的微細化、高積體化的要求,要求配線間的電容的降低以及配線的導電性提升及電遷移抗性的提升,因應其而於配線材料方面採用導電性比歷來的鋁(Al)、鎢(W)等高且電遷移抗性方面優異的銅(Cu),在形成Cu配線的技術方面,多數使用預先於層間絕緣膜藉蝕刻形成配線溝(溝渠)、連接孔(洞)等的凹部,並於其中埋入Cu的鑲嵌法(例如,專利文獻1參照)。此外,隨著半導體裝置的微細化,層間絕緣膜具有的寄生電容係在使配線的性能提升上成為重要的因子,在層間絕緣膜方面日漸採用由低介電率材料所成之低介電率膜(Low-k膜)。
此外,為了於層間絕緣膜準確度佳地形成凹 部,在蝕刻遮罩方面,已提出使用如Ti膜、TiN膜等的金屬硬遮罩(例如,專利文獻2)。
先前技術文獻 專利文獻
專利文獻1:日本發明專利公開2002-083869號公報
專利文獻2:日本發明專利公開2003-229482號公報
另外,製造Cu配線時,係利用金屬硬遮罩而藉乾式刻蝕將凹部形成於層間絕緣膜,依所需藉乾式灰化將蝕刻殘渣、硬遮罩等除去後,進行濕式洗淨,之後進行配線形成處理。
此時,乾式蝕刻後或乾式灰化後,至濕式洗淨的時間長時會發生層間絕緣膜的膜質的劣化等。尤其,在層間絕緣膜方面採用低介電膜的情況下,係在至濕式洗淨之間介電率會上升。並且,如此層間絕緣膜的膜質劣化時,在之後的配線形成後會引起可靠性降低等的問題。
為此,歷來,係不得不嚴格管理在蝕刻程序或灰化程序至下個洗淨程序之間的時間。由於此制約,產生產品的產率降低等的問題。
要消解如此之問題,係雖考慮在蝕刻程序或灰化程序後將表面覆膜形成於層間絕緣膜上而將層間絕緣膜與外面空氣的接觸進行遮斷的方法,惟為了可簡易地形 成,並且不對於配線等造成不良影響,配線程序前,優選上需要可在洗淨程序前或在洗淨程序容易地除去,可滿足如此之點同時獲得充分的保護作用的實用的手法係未被發現。
因此,本發明之目的,係在於提供藉乾式刻蝕將凹部形成於層間絕緣膜後,或者之後進一步乾式灰化後,在至配線形成程序之間可實用地抑制層間絕緣膜的膜質的劣化的半導體裝置之製造方法、以及表面覆膜的形成方法及形成裝置。
為了解決上述課題,依本發明的一個觀點時,提供一種半導體裝置之製造方法,具有:準備具有層間絕緣膜的被處理基板;隔著遮罩層對於層間絕緣膜實施乾式刻蝕,形成凹部;乾式蝕刻後,藉使用在其中一末端及另一末端分別具有與前述層間絕緣膜的表面反應而結合的第1取代基、是親水性的第2取代基的分子構造的表面覆膜用化合物氣體的氣體處理而於整面形成表面覆膜;除去前述表面覆膜;以及於前述凹部內形成配線。
可構成為與前述層間絕緣膜係含有Si,前述表面覆膜用化合物的前述第1取代基,係前述層間絕緣膜的Si結合。此時,前述層間絕緣膜係可適用含矽低介電膜。
並且,可作成:前述表面覆膜用化合物的前述第1取代基係烷氧基矽基,烷氧基矽基與前述層間絕緣膜的Si-OH反應而形成矽氧烷鍵。此外,在前述表面覆膜 用化合物的前述第2取代基方面可使用胺基。
在前述表面覆膜用化合物方面,可適用N-苯基-3-胺基丙基三甲氧基矽烷、(N-(2-胺基乙基))-3-胺基丙基三甲氧基矽烷、3-胺基丙基三甲氧基矽烷、3-胺基丙基三乙氧基矽烷的任一者。
在前述乾式蝕刻方面一般而言可採用電漿蝕刻。此外,亦可作成:進一步具有對於前述乾式蝕刻後的被處理基板實施乾式灰化,前述表面覆膜的形成,係於前述乾式灰化後進行,此情況下,在前述乾式灰化方面一般而言可採用電漿灰化。前述表面覆膜的除去,係藉濕式洗淨而進行為優選。
依本發明的其他觀點時,提供一種表面覆膜的形成方法,於具有層間絕緣膜的被處理基板,實施將供於配線形成用的凹部形成於前述層間絕緣膜的乾式刻蝕後,或之後進一步實施乾式灰化後,可在之後的程序除去地形成供於保護前述層間絕緣膜用的表面覆膜,藉使用在其中一末端及另一末端分別具有與前述層間絕緣膜的表面反應而結合的第1取代基、是親水性的第2取代基的分子構造的表面覆膜用化合物氣體的氣體處理而於前述層間絕緣膜形成表面覆膜。
依本發明的再其他觀點時,提供一種表面覆膜的形成裝置,於具有層間絕緣膜的被處理基板,實施將供於配線形成用的凹部形成於前述層間絕緣膜的乾式刻蝕後,或之後進一步實施乾式灰化後,可在之後的程序除去 地形成供於保護前述層間絕緣膜用的表面覆膜,具有:針對實施將供於配線形成用的凹部形成於前述層間絕緣膜的乾式刻蝕後的被處理基板進行收容的處理容器;將前述處理容器內排氣的排氣機構;將前述處理容器內加熱至既定溫度的加熱機構;以及對於前述處理容器內,供應分別在其中一末端及另一末端具有與前述層間絕緣膜的表面反應而結合的第1取代基、是親水性的第2取代基的分子構造的表面覆膜用化合物氣體的氣體供應機構。
依本發明時,蝕刻層間絕緣膜而形成凹部後,藉使用在其中一末端及另一末端分別具有與層間絕緣膜的表面反應而結合的第1取代基、是親水性的第2取代基的分子構造的表面覆膜用化合物氣體的氣體處理而於整面形成表面覆膜,之後在配線形成程序前除去表面覆膜,故藉乾式刻蝕將凹部形成於層間絕緣膜後,或者之後進一步乾式灰化後,在至配線形成程序之間可實用地抑制層間絕緣膜的膜質的劣化。
1‧‧‧處理系統
2‧‧‧處理部
3‧‧‧搬出入部
4‧‧‧控制部
21a‧‧‧蝕刻裝置
21b‧‧‧灰化裝置
21c‧‧‧表面覆膜形成裝置
22‧‧‧真空搬送室
24‧‧‧第1搬送機構
102‧‧‧層間絕緣膜
103‧‧‧硬遮罩層
104‧‧‧溝渠
105‧‧‧蝕刻殘渣
106‧‧‧表面覆膜
W‧‧‧半導體晶圓
〔圖1〕示出本發明的一實施形態相關之半導體裝置之製造方法的流程圖。
〔圖2A〕本發明的一實施形態相關之半導體裝置之製造方法的主要部分的程序剖面圖。
〔圖2B〕本發明的一實施形態相關之半導體裝置之 製造方法的主要部分的程序剖面圖。
〔圖2C〕本發明的一實施形態相關之半導體裝置之製造方法的主要部分的程序剖面圖。
〔圖2D〕本發明的一實施形態相關之半導體裝置之製造方法的主要部分的程序剖面圖。
〔圖2E〕本發明的一實施形態相關之半導體裝置之製造方法的主要部分的程序剖面圖。
〔圖3〕示出適合於本發明的一實施形態相關之半導體裝置之製造方法的處理系統的示意圖。
〔圖4〕示出可搭載於圖3的處理系統的表面覆膜形成裝置的第1例的剖面圖。
〔圖5〕示出可搭載於圖3的處理系統的表面覆膜形成裝置的第2例的剖面圖。
〔圖6〕示出確認了本發明的效果的實驗的計畫的示意圖。
以下,參見附圖而說明有關於本發明之實施形態。
<半導體裝置之製造方法>
圖1係示出本發明的一實施形態相關之半導體裝置之製造方法的流程圖,圖2A~圖2E係其主要部分的程序剖面圖。
在本實施形態,係首先準備半導體晶圓(以下,單記作晶圓)W(步驟1,圖2A),其係在形成於Si基體100的下部構造101(細節省略)上形成由含有Si的材料所成之層間絕緣膜102,於其上形成形成有既定圖案的硬遮罩層103。
在層間絕緣膜102方面,係可舉例如介電率表現低至3.5以下的值,由含有Si的化合物所成之含矽低介電膜。在含矽低介電膜,係可適用SiOC、SiOF等。此外,在層間絕緣膜102方面,係亦可為自歷來一般使用的SiO2膜。
硬遮罩層103,係採用由金屬或金屬化合物所成之金屬系材料的金屬硬遮罩層為優選,在材料方面可適用TiN、TaN、Ti、Ta。硬遮罩層103,係形成金屬膜及金屬化合物膜後,以藉光刻而圖案化的光阻作為遮罩進行電漿蝕刻從而獲得。
接著,以硬遮罩層103用作為蝕刻遮罩而將層間絕緣膜102乾式刻蝕,於層間絕緣膜102形成既定圖案的溝渠104作為凹部(步驟2,圖2B)。
在乾式刻蝕方面,係可採用將CF系等的蝕刻氣體進行電漿化而進行蝕刻的一般的電漿蝕刻。此外,應用雙鑲嵌法的情況下,係雖於溝渠104的底部形成導孔,惟於該情況下係可藉既定的遮罩形成導孔(未圖示)後形成溝渠104,亦可形成溝渠104後形成導孔。
形成溝渠104後,依所需進行乾式灰化而除 去蝕刻殘渣105等(步驟3,圖2C)。
在乾式灰化方面,係可適用一般使用的藉CO2氣體、N2氣體/H2氣體等的電漿灰化。亦可藉乾式灰化除去硬遮罩層103的其餘部分。
緊接著乾式灰化後,或不進行乾式灰化的情況下係緊接著乾式刻蝕後,藉氣體處理於整面形成表面覆膜106(步驟4,圖2D)。
形成表面覆膜106,使得可將層間絕緣膜102從外面空氣遮斷而達到保護作用。表面覆膜106,係可使用在其中一末端及另一末端分別具有與層間絕緣膜102的表面反應而結合的第1取代基、是親水性的第2取代基的分子構造的表面覆膜用化合物氣體進行氣體處理從而形成。具體而言,供應包含如此之表面覆膜用化合物氣體的氣體,使表面覆膜用化合物氣體吸附於晶圓W整面,從而形成由如此之表面覆膜用化合物所成之表面覆膜。如此之表面覆膜106,係僅藉供應表面覆膜用化合物氣體,使得其中一末端的第1取代基結合於層間絕緣膜102的表面的Si,故可容易地形成。此外,分子的其中一末端的第1取代基結合於層間絕緣膜102的結果,雖變成另一末端的第2取代基構成表面,惟構成表面的第2取代基為親水性,故可藉濕式洗淨容易地除去。
如此之層間絕緣膜102為如含矽低介電膜的含有Si者的情況下,在表面覆膜用化合物的第1取代基方面,係可舉烷氧基矽基作為適當的例子。對含有Si的 層間絕緣膜102實施蝕刻、灰化等時,表面係成為存在多個如Si-OH的羥基的狀態的情形雖為周知,惟烷氧基矽基係與含有Si的層間絕緣膜102的表面的Si-OH反應而形成矽氧烷鍵(Si-O-Si結合)。此外,在親水性的第2取代基方面,係可舉胺基。
如以上之具有在其中一及另一末端分別具有第1取代基與第2取代基的分子構造的化合物方面,係例示:示於以下的式(1)的構造的N-苯基-3-胺基丙基三甲氧基矽烷、示於式(2)的構造的N-(2-胺基乙基)-3-胺基丙基三甲氧基矽烷、示於式(3)的構造的3-胺基丙基三甲氧基矽烷、示於式(4)的構造的3-胺基丙基三乙氧基矽烷等。
另外,在第1取代基方面,係烷氧基矽基以外,可舉胺基矽基、羥基矽基、鹵化矽基等,在第2取代基方面,係胺基以外,可舉羥基、羧基等。
供於形成表面覆膜106用的氣體處理,係可例如在保持成1~133.3Pa程度的真空中的處理容器內將晶圓W加熱至50~200℃的狀態下對處理容器內供應包含上述表面覆膜用化合物氣體的處理氣體從而進行。在處理氣體方面,係表面覆膜用化合物氣體以外,可供應N2氣體、Ar氣體等的惰性氣體作為稀釋氣體。
表面覆膜106的形成處理,係能以與蝕刻、灰化等同樣的氣體處理進行,故在供於進行蝕刻及灰化用的處理系統內,蝕刻或灰化後,可不經大氣曝露而連續進行。表面覆膜106的形成處理,係雖如此不經大氣曝露而連續進行為優選,惟若短時間則亦可蝕刻後或灰化後在大氣曝露後進行。
形成表面覆膜106的晶圓W,係之後進行濕式洗淨,除去表面覆膜106以外,除去灰化殘渣及硬遮罩層103的其餘部分等(步驟5,圖2E)
濕式洗淨,係可採用使晶圓W旋轉同時對晶圓供應溶液的手法、或使晶圓W浸漬在貯留於槽內的溶液的手法。在溶液方面,係可採用如蝕刻氨與過氧化氫混合液(APM)的氨系溶液、如稀氫氟酸(DHF)的氫氟酸系溶液、如硫酸過氧化氫混合液(SPM)的硫酸系溶液等自歷來用作為晶圓洗淨用的溶液者。溶液的種類及濃度,係依Si系層間絕緣膜102的材料及表面覆膜106的材料,而以可相對於Si系層間絕緣膜102以高選擇比蝕刻表面覆膜106的方式作選擇。
如此進行至洗淨處理後,往溝渠104內形成配線(步驟6)。配線的形成,係例如,於溝渠104內形成屏障膜等後,於溝渠104內埋入Cu膜,之後依CMP進行整面研磨從而進行。
如以上之半導體裝置的製程中,歷來係在乾式蝕刻後或乾式灰化後未形成表面覆膜,至濕式洗淨的時 間長時會發生層間絕緣膜的膜質的劣化等。尤其,在層間絕緣膜方面採用低介電膜的情況下,係發生在至濕式洗淨之間介電率上升如此的問題。如此於層間絕緣膜發生膜質的劣化時,在之後的配線形成後會引起可靠性降低等的問題。為此,歷來係採取嚴格管理在蝕刻程序或灰化程序至下個洗淨程序之間的時間如此的手法。然而,以此手法係發生產品的產率降低等的問題,故解除如此之制約為大的課題。
在本實施形態,係在供於解決如此之課題用的手法方面,在緊接著蝕刻或灰化後形成表面覆膜106而遮斷與外面空氣的接觸。
在表面覆膜的形成手法方面,係考慮氣體處理及液體處理。此等之中,液體處理,係一般而言採用常壓裝置,在從蝕刻使用的真空系裝置連續而實施時,需要與真空系裝置個別地製作裝置,包含成本面時實用性極低。相對於此,氣體處理係可採用真空系裝置,且實用。為此在本實施形態係採用氣體處理。
另外,表面覆膜106,係可實用地形成以外,能以不對於配線等造成不良影響的方式在濕式洗淨程序前或在濕式洗淨程序容易地除去,並且需要具有充分的保護作用。為此,考慮該點而多次進行各種檢討。
進行氣體處理時使用的化合物,係在末端具有與層間絕緣膜102的表面反應而結合的第1取代基時,即可藉該等的反應而容易地形成表面覆膜106。另一方 面,該分子的無助於與層間絕緣膜102的反應之側的末端,係雖為了具有更高的保護作用而作成呈疏水性而使水分不會接近為最佳,惟為此在無助於與層間絕緣膜102的反應之側的末端的第2取代基方面導入疏水性的取代基時,表面覆膜在之後的濕式洗淨程序會排斥溶液,故除去表面覆膜變難。
然而,各種檢討的結果發現,實際上,即使供於形成表面覆膜用的化合物的分子係第2取代基為親水性,仍可從空氣中的水分等保護層間絕緣膜102,使第2取代基為親水性者使得可在濕式洗淨程序容易地進行除去。
在本實施形態,係根據以上的點,而如上所述,作成使用分別在其中一末端及另一末端具有與層間絕緣膜102的表面反應而結合的第1取代基、是親水性的第2取代基的分子構造的表面覆膜形成用化合物氣體,使此吸附於晶圓W整面從而形成表面覆膜106。藉此,能以藉使用於蝕刻、灰化的真空系裝置的氣體處理而簡易且實用地形成表面覆膜106,並且可藉濕式洗淨容易地除去,再者可藉表面覆膜106充分抑制蝕刻後或灰化後的層間絕緣膜102的劣化。
<處理系統>
接著,說明關於適合於上述本發明的一實施形態相關之半導體裝置之製造方法的處理系統。圖3係示出適合於 本發明的一實施形態相關之半導體裝置之製造方法的處理系統的示意圖。
如示於圖3,處理系統1,係構成為集束設備(cluster tool)型(多腔室型)的半導體製造裝置,具備對晶圓W實施處理的處理部2、對於此處理部2將晶圓W搬出入的搬出入部3、控制處理系統1的構成要素的控制部4。
處理部2,係具有平面形狀構成6角形並保持成真空的真空搬送室22、分別設於對應於真空搬送室22的3邊的壁部的蝕刻裝置21a、灰化裝置21b、表面覆膜形成裝置21c、分別設於對應於真空搬送室22的其他2邊的壁部的可在真空狀態與大氣狀態之間切換的載鎖(load look)室26a、26b。蝕刻裝置21a、灰化裝置21b、表面覆膜形成裝置21c、及載鎖室26a、26b係經由閘閥G而連接至真空搬送室22。另外,不進行灰化的情況下,係不設置灰化裝置21b亦可。
於真空搬送室22內,係設有對於蝕刻裝置21a、灰化裝置21b、表面覆膜形成裝置21c、及載鎖室26a、26b進行晶圓W的搬出入的第1搬送機構24。此第1搬送機構24,係配設於真空搬送室22的略中央,具有可旋轉及伸縮的搬送臂24a及24b,於其前端設有支撐晶圓W的2個支撐臂件25a、25b。
搬出入部3,係具有內部被調整成大氣壓或相對於大氣壓稍正壓的大氣搬送室31。大氣搬送室31係平 面形狀構成矩形狀,在對應於其中一長邊的壁部連接著上述載鎖室26a、26b。在載鎖室26a、26b與大氣搬送室31之間係設有閘閥G。在大氣搬送室31的對應於另一長邊的壁部,係設有連接針對晶圓W進行收容的載體C的例如2個的連接埠32a、32b。於此等連接埠32a、32b係分別設有未圖示的遮蔽件,於此等連接埠32a、32b直接安裝收容了晶圓W的狀態的載體C或空的載體C,該情況下遮蔽件被卸除而防止外面空氣的侵入同時與大氣搬送室31連通。此外,於大氣搬送室31的對應於其中一短邊的壁部係設有對準室33,在該處進行晶圓W的對準。
於大氣搬送室31內,係設有進行對於載體C的晶圓W的搬出入及對於載鎖室26a、26b的晶圓W的搬出入及對於對準室33的晶圓W的搬出入的第2搬送機構35。此第2搬送機構35,係具有二個的多關節臂36a及36b,構成為可行駛於沿著搬出入室31的長邊方向而延伸的導軌37上。於多關節臂36a及36b的前端,係安裝手部38a及38b,晶圓W係以載於手部38a或38b的狀態下被搬送。
控制部4,係具備:由執行各構成部的控制的微處理器(電腦)所成之程序控制器;由操作員為了管理處理系統1而進行指令的輸入操作等的鍵盤、將處理系統1的作動狀況進行可視化而顯示的顯示器等所成之使用者介面;以及儲存供於依程序控制器的控制而實現在處理系統1被執行的處理用的控制程式、各種資料、及供於依處 理條件使處理裝置的各構成部執行處理用的程式亦即處理配方的記憶部。並且,依所需,而依來自使用者介面的指示等從記憶部叫出任意的配方而使程序控制器執行,從而在程序控制器的控制下,進行在處理系統1的期望的處理。
如此之處理系統1中,係從收容複數個具有示於圖2A的構造的晶圓W的載體C藉第2搬送機構35將一個晶圓W取出,搬送至載鎖室26a、26b的任一者。並且,將搬送了晶圓W的載鎖室減壓至與真空搬送室22相同程度的真空度後,藉第1搬送機構24將載鎖室的晶圓W取出,搬送至蝕刻裝置21a,藉蝕刻裝置21a在真空環境下將晶圓W的層間絕緣膜進行乾式刻蝕,一般而言進行電漿蝕刻。
蝕刻後,藉第1搬送機構24從蝕刻裝置21a將晶圓W取出,搬送至灰化裝置21b,藉灰化裝置21b在真空環境下進行乾式灰化,一般而言進行電漿灰化而除去蝕刻殘渣等。
灰化後,藉第1搬送機構24從灰化裝置21b將晶圓W取出,搬送至表面覆膜形成裝置21c,於表面覆膜形成裝置21c中,在真空環境中藉氣體處理進行表面覆膜形成處理。另外,不進行灰化的情況下,係藉第1搬送機構24將從蝕刻裝置21a取出的晶圓W搬送至表面覆膜形成裝置21c,進行表面覆膜形成處理。
表面覆膜形成處理後,將晶圓W搬送至載鎖 室26a、26b的任一者,將該載鎖室復原成大氣壓後,藉第2搬送機構35將形成表面覆膜的晶圓W取出,歸還至載體C。載體內的晶圓W的個數份重複如此之處理。
依如此之處理系統1時間,僅對於進行一般的蝕刻及灰化的處理系統編入是真空系裝置的表面覆膜形成裝置,故不會使裝置成本上升而實用性高。此外,可從蝕刻或灰化連續而在不需破真空下形成表面覆膜,故可確實防止層間絕緣膜的劣化。
<表面覆膜形成裝置> (第1例)
圖4,係示出表面覆膜形成裝置21c的第1例的剖面圖。在此,係取單片式的裝置為例而說明。
如示於圖4,本例的表面覆膜形成裝置21c,係具有由例如鋁等而形成的可抽真空的處理容器41。於處理容器41內的底部,係具有載置晶圓W的載置台42,於載置台42係埋設加熱器43,此加熱器43係從加熱器電源(未圖示)供電從而發熱。
於處理容器41的頂壁,係設有將由表面覆膜形成用化合物氣體、是惰性氣體的N2氣體等所成之處理氣體導入的處理氣體導入口44,於氣體導入口44係連接著氣體供應配管45,於氣體供應配管45的基端側係連接著供於供應供於將表面覆膜形成於晶圓W用的表面覆膜用化合物氣體用的表面覆膜用化合物氣體供應源46。於 氣體供應配管45,係設有流量調節器47。此外,於氣體供應配管45的中途,係連接著供應是惰性氣體的N2氣體的N2氣體供應配管48,於N2氣體供應配管48的基端側係連接著N2氣體供應源49。於N2氣體供應配管48係設有流量調節器50。針對流量調節器47、50進行調節,從而以期望的流量供應表面覆膜用化合物氣體及N2氣體。另外,亦可代替N2氣體而使用Ar氣體等的其他惰性氣體。
於處理容器41的側壁,係設有在與真空搬送室22之間搬送晶圓W的搬出入口51,此搬出入口51係可藉閘閥G而開放。此外,於處理容器41的底壁係連接著排氣配管52,於排氣配管52係連接著真空泵浦53。於排氣配管52係設有壓力調整閥54,針對壓力調整閥54進行調整,從而將處理容器41內調成既定壓力而進行表面覆膜形成處理。
於如此之第1例的表面覆膜形成裝置21c中,係將真空搬送室22與處理容器41之間的閘閥G打開而將晶圓W搬送至處理容器41內,載置於藉加熱器43加熱至50~200℃例如150℃的載置台42後,關閉閘閥G,將處理容器41內藉真空泵浦53排氣而將處理容器41內調整成1~133.3Pa程度的既定的壓力,將表面覆膜用化合物氣體及N2氣體導入處理容器41內。藉此,在晶圓W的表面部分的含有Si的層間絕緣膜的整面形成表面覆膜。
(第2例)
圖5,係示出表面覆膜形成裝置21c的第2例的剖面圖。在此,係取批次式的裝置為例而說明。
如示於圖5,本例的表面覆膜形成裝置21c,係具有下端被開口,且在上頂由圓筒體狀的內管61、在內管61的外側配置成同心圓狀的外管62所成之2層筒構造的處理容器63。內管61及外管62,係由例如石英而形成。構成處理容器63的外管62的下端,係例如經由O環等的密封構材65而連結於不銹鋼製的圓筒體狀的歧管64。相同構成處理容器63的內管61,係支撐於安裝在歧管64的內壁的支撐環66上。
歧管64的下端係被開口,縱型晶舟67經由下端開口部被插入內管61內。縱型晶舟67,係具有複數個形成複數個的未圖示的支撐溝的桿68,使是被處理體的複數個例如50~100個的晶圓W的周緣部的一部分支撐於上述支撐溝。藉此,於縱型晶舟67,係晶圓W被多階地載置於高度方向。
縱型晶舟67,係隔著石英製的保溫筒69而載置於台70上。台70,係支撐於貫通將歧管64的下端開口部進行開閉的例如不銹鋼製的蓋部71的旋轉軸72上。於旋轉軸72的貫通部,係設有例如磁性流體密封73,將旋轉軸72氣密地密封同時可旋轉地支撐。在蓋部71的周邊部與歧管64的下端部之間,係例如由O環所成的密封 構材74設於之間。藉此保持處理容器63內的密封性。旋轉軸72,係例如安裝於支撐在晶舟升降機等的升降機構(未圖示)的臂75的前端。藉此,縱型晶舟67及蓋部71等,係一體地升降而相對於處理容器63的內管61內進行插除。
表面覆膜形成裝置21c,係具有對內管61內供應表面覆膜用化合物氣體的表面覆膜用化合物氣體供應機構80、及對內管61內供應是惰性氣體的N2氣體的N2氣體供應機構81。
表面覆膜用化合物氣體供應機構80,係具有:表面覆膜用化合物氣體供應源82;從表面覆膜用化合物氣體供應源82延伸的表面覆膜用化合物氣體供應配管83;連接於表面覆膜用化合物氣體供應配管83,插入歧管64內的分散噴嘴84;以及連接於配管83的流量控制器85。分散噴嘴84,係例如由石英管所成,將歧管64的側壁往內側貫通,於歧管64的內部朝向內管61往高度方向彎曲而垂直延伸。於分散噴嘴84的垂直部分,係隔既定之間隔而形成複數個氣體吐出孔86。藉此,表面覆膜用化合物氣體係從吐出孔86略均勻吐出於水平方向。
N2氣體供應機構81,係具有:N2氣體供應源87;從N2氣體供應源87延伸的N2氣體供應配管88;連接於N2氣體供應配管88,插入歧管64內的噴嘴89;以及連接於配管88的流量控制器90。噴嘴89,係例如由石英管所成,將歧管64的側壁往內側貫通。從噴嘴89經過 歧管64的N2氣體到往內管61內。
在內管61的相對於分散噴嘴84位於相反側的側壁部分,係設有供於將內管61內排氣用的排氣口91。內管61,係經由排氣口91而通至外管62的內部。外管62的內部,係通至設於歧管64的側壁的氣體出口92,於氣體出口92,係連接著排氣配管93,於排氣配管93係連接著真空泵浦94。於排氣配管93係設有壓力調整閥95並藉調整壓力調整閥95,從而將處理容器63內調成既定壓力而進行表面覆膜形成處理。
於外管62的外周係設有筒體狀的加熱裝置96。加熱裝置96,係將供應至內管61內的氣體活性化,同時將收容於內管61內的晶圓W加熱。
於如此之第2例的表面覆膜形成裝置21c中,係首先在處理容器63的下方的移載裝置(未圖示),常溫下,將例如50~100個的晶圓W搭載於晶舟67,對於藉加熱裝置96而預先控制成50~200℃例如150℃的處理容器63從其下方予以上升從而裝載搭載晶圓W的晶舟67,以蓋部71將歧管64的下端開口部關閉從而將處理容器63內作成密閉空間。然後將處理容器63內抽真空,而調整成1~133.3Pa程度的既定的壓力,控制往加熱裝置96的供應電力,使晶圓溫度上升而維持於處理溫度,在使晶舟67旋轉的狀態下,將表面覆膜用化合物氣體及N2氣體導入處理容器63內。藉此,在晶圓W的表面部分的含有Si的層間絕緣膜的整面形成表面覆膜。
<實驗結果>
接著,說明關於藉實驗確認本發明的效果的結果。在此,係為了將現象單純化,而以在Si上形成覆蓋的低介電膜的樣品實施實驗。在低介電膜方面係使用SiOC系者。實驗,係依示於圖6的方案而進行。
首先,針對藉電漿處理將低介電膜表面進行蝕刻及灰化的樣品(樣品A),在緊接著之後測定介電率(k值)。另一方面,作成在緊接著進行蝕刻及灰化後實施表面覆膜形成處理並放置在大氣中1週的樣品(樣品B)、未實施表面覆膜形成處理而放置在大氣中1週的樣品(樣品C),針對樣品B係在藉濕式洗淨將表面覆膜除去後測定介電率(k值),針對樣品C亦在進行濕式洗淨後測定介電率(k值)。
另外,蝕刻及灰化,係以一般的電漿處理裝置在一般的條件下進行。此外,表面覆膜形成處理,係以如示於圖5的批次式的裝置在表面覆膜用化合物方面使用N-苯基-3-胺基丙基三甲氧基矽烷,而在150℃、53.3Pa的條件下進行約10分鐘。再者,濕式洗淨處理,係在溶液方面貯留DHF1:1000(稀釋成1000倍的稀氫氟酸)而1分鐘浸漬於燒杯中從而進行。
相對於樣品A的k值係3.40,樣品B的k值係3.39,確認了:形成表面覆膜,使得在大氣環境中放置1週後仍幾乎未見k值的上升。另一方面,樣品C的k值 係3.57,確認了:未形成表面覆膜的情況下,係在大氣環境中放置1週時k值會上升。由此,確認了:藉形成本發明的表面覆膜,使得可抑制是層間絕緣膜的低介電膜的劣化。
另外,針對進行表面覆膜形成處理後不進行濕式洗淨的樣品亦進行測定k值的結果,k值係3.61。另一方面,代替表面覆膜形成處理而進行與表面覆膜形成處理同熱歷程的熱處理後不進行濕式洗淨的樣品的k值係3.89。亦即,僅進行表面覆膜形成處理時k值的上升仍受到抑制。如此僅以表面覆膜形成處理使得k值的上升受到抑制的原因,係推測由於表面覆膜形成處理使得低介電膜的損傷恢復,吸濕受到抑制。
<其他應用>
另外,本發明係不限定於上述實施形態而可進行各種變形。例如,在上述實施形態,係在蝕刻後或灰化後形成表面覆膜,之後藉濕式洗淨而除去表面覆膜,惟除去的手法係非限於濕式洗淨者。此外,在層間絕緣膜方面雖示出SiOC、SiOF等的含矽低介電膜作為主要的例子,惟即使不含有Si的層間絕緣膜,只要可藉表面覆膜用化合物氣體形成表面覆膜則亦可應用。
再者,在上述實施形態,係雖示出在被處理基板方面使用半導體晶圓之例,惟並非限定於此者,亦可為FPD(平板顯示器)用基板等其他基板。

Claims (22)

  1. 一種半導體裝置之製造方法,具有:準備具有層間絕緣膜的被處理基板;隔著遮罩層對於層間絕緣膜實施乾式刻蝕,形成凹部;乾式蝕刻後,藉使用在其中一末端及另一末端分別具有與前述層間絕緣膜的表面反應而結合的第1取代基、是親水性的第2取代基的分子構造的表面覆膜用化合物氣體的氣體處理而於整面形成表面覆膜;除去前述表面覆膜;以及於前述凹部內形成配線。
  2. 如申請專利範圍第1項之半導體裝置之製造方法,其中,前述層間絕緣膜係含有Si,前述表面覆膜用化合物的前述第1取代基,係與前述層間絕緣膜的Si結合。
  3. 如申請專利範圍第2項之半導體裝置之製造方法,其中,前述層間絕緣膜係含矽低介電膜。
  4. 如申請專利範圍第2項之半導體裝置之製造方法,其中,前述表面覆膜用化合物的前述第1取代基係烷氧基矽基,烷氧基矽基與前述層間絕緣膜的Si-OH反應而形成矽氧烷鍵。
  5. 如申請專利範圍第4項之半導體裝置之製造方法,其中,前述表面覆膜用化合物的前述第2取代基係胺基。
  6. 如申請專利範圍第5項之半導體裝置之製造方法,其中,前述表面覆膜用化合物,係N-苯基-3-胺基丙基三甲氧基矽烷、(N-(2-胺基乙基)-3-胺基丙基三甲氧基矽烷、3-胺基丙基三甲氧基矽烷、3-胺基丙基三乙氧基矽烷的任一者。
  7. 如申請專利範圍第1項之半導體裝置之製造方法,其中,前述乾式蝕刻係電漿蝕刻。
  8. 如申請專利範圍第1項之半導體裝置之製造方法,其進一步具有對於前述乾式蝕刻後的被處理基板實施乾式灰化,前述表面覆膜的形成,係於前述乾式灰化後進行。
  9. 如申請專利範圍第8項之半導體裝置之製造方法,其中,前述乾式灰化係電漿灰化。
  10. 如申請專利範圍第1項之半導體裝置之製造方法,其中,前述表面覆膜的除去,係藉濕式洗淨而進行。
  11. 一種表面覆膜之形成方法,於具有層間絕緣膜的被處理基板,實施將供於配線形成用的凹部形成於前述層間絕緣膜的乾式刻蝕後,或之後進一步實施乾式灰化後,可在之後的程序除去地形成供於保護前述層間絕緣膜用的表面覆膜,藉使用在其中一末端及另一末端分別具有與前述層間絕緣膜的表面反應而結合的第1取代基、是親水性的第2取代基的分子構造的表面覆膜用化合物氣體的氣體處理而於前述層間絕緣膜形成表面覆膜。
  12. 如申請專利範圍第11項之表面覆膜之形成方法,其中,前述層間絕緣膜係含有Si,前述表面覆膜用化合物的前述第1取代基,係與前述層間絕緣膜的Si結合。
  13. 如申請專利範圍第12項之表面覆膜之形成方法,其中,前述層間絕緣膜係含矽低介電膜。
  14. 如申請專利範圍第12項之表面覆膜之形成方法,其中,前述表面覆膜用化合物的前述第1取代基係烷氧基矽基,烷氧基矽基與前述層間絕緣膜的Si-OH反應而形成矽氧烷鍵。
  15. 如申請專利範圍第14項之表面覆膜之形成方法,其中,前述表面覆膜用化合物的前述第2取代基係胺基。
  16. 如申請專利範圍第15項之表面覆膜之形成方法,其中,前述表面覆膜用化合物,係N-苯基-3-胺基丙基三甲氧基矽烷、(N-(2-胺基乙基)-3-胺基丙基三甲氧基矽烷、3-胺基丙基三甲氧基矽烷、3-胺基丙基三乙氧基矽烷的任一者。
  17. 一種表面覆膜之形成裝置,於具有層間絕緣膜的被處理基板,實施將供於配線形成用的凹部形成於前述層間絕緣膜的乾式刻蝕後,或之後進一步實施乾式灰化後,可在之後的程序除去地形成供於保護前述層間絕緣膜用的表面覆膜,具有:針對實施將供於配線形成用的凹部形成於前述層間絕緣膜的乾式刻蝕後的被處理基板進行收容的處理容器;將前述處理容器內排氣的排氣機構;將前述處理容器內加熱至既定溫度的加熱機構;以及對於前述處理容器內,供應分別在其中一末端及另一末端具有與前述層間絕緣膜的表面反應而結合的第1取代基、是親水性的第2取代基的分子構造的表面覆膜用化合物氣體的氣體供應機構。
  18. 如申請專利範圍第17項之表面覆膜之形成裝置,其中,前述層間絕緣膜係含有Si,前述表面覆膜用化合物的前述第1取代基,係與前述層間絕緣膜的Si結合。
  19. 如申請專利範圍第18項之表面覆膜之形成裝置,其中,前述層間絕緣膜係含矽低介電膜。
  20. 如申請專利範圍第18項之表面覆膜之形成裝置,其中,前述表面覆膜用化合物的前述第1取代基係烷氧基矽基,烷氧基矽基與前述層間絕緣膜的Si-OH反應而形成矽氧烷鍵。
  21. 如申請專利範圍第20項之表面覆膜之形成裝置,其中,前述表面覆膜用化合物的前述第2取代基係胺基。
  22. 如申請專利範圍第21項之表面覆膜之形成裝置,其中,前述表面覆膜用化合物,係N-苯基-3-胺基丙基三甲氧基矽烷、(N-(2-胺基乙基)-3-胺基丙基三甲氧基矽烷、3-胺基丙基三甲氧基矽烷、3-胺基丙基三乙氧基矽烷的任一者。
TW104130820A 2014-09-19 2015-09-17 半導體裝置之製造方法、以及表面覆膜之形成方法及形成裝置 TWI671834B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2014-191437 2014-09-19
JP2014191437A JP6315694B2 (ja) 2014-09-19 2014-09-19 半導体装置の製造方法、ならびに皮膜の形成方法および形成装置

Publications (2)

Publication Number Publication Date
TW201622029A TW201622029A (zh) 2016-06-16
TWI671834B true TWI671834B (zh) 2019-09-11

Family

ID=55532943

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104130820A TWI671834B (zh) 2014-09-19 2015-09-17 半導體裝置之製造方法、以及表面覆膜之形成方法及形成裝置

Country Status (4)

Country Link
US (1) US10083859B2 (zh)
JP (1) JP6315694B2 (zh)
TW (1) TWI671834B (zh)
WO (1) WO2016042898A1 (zh)

Families Citing this family (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN107799467B (zh) * 2016-08-30 2021-01-29 上海新昇半导体科技有限公司 一种刻蚀方法、刻蚀装置及半导体晶圆分割方法
KR102184067B1 (ko) 2017-12-27 2020-11-27 도쿄엘렉트론가부시키가이샤 에칭 방법 및 에칭 장치
JP7193731B2 (ja) 2019-03-29 2022-12-21 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JP2021163775A (ja) 2020-03-30 2021-10-11 東京エレクトロン株式会社 エッチング方法及びエッチング装置
JP7472634B2 (ja) 2020-04-28 2024-04-23 東京エレクトロン株式会社 エッチング方法及びエッチング装置
CN113889405A (zh) 2020-07-02 2022-01-04 长鑫存储技术有限公司 半导体结构的处理方法及形成方法
JP7411818B2 (ja) * 2020-07-02 2024-01-11 チャンシン メモリー テクノロジーズ インコーポレイテッド 半導体構造の処理方法及び形成方法
CN113889404A (zh) * 2020-07-02 2022-01-04 长鑫存储技术有限公司 半导体结构的处理方法及形成方法
JP2022124908A (ja) 2021-02-16 2022-08-26 東京エレクトロン株式会社 エッチング方法及びエッチング装置

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014084219A1 (ja) * 2012-11-29 2014-06-05 大阪有機化学工業株式会社 親水性コート剤

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4484345B2 (ja) 2000-09-11 2010-06-16 東京エレクトロン株式会社 半導体装置及びその製造方法
KR100448592B1 (ko) 2001-12-29 2004-09-13 주식회사 하이닉스반도체 반도체 소자의 구리배선 형성 방법
JP3768480B2 (ja) * 2002-02-14 2006-04-19 Necエレクトロニクス株式会社 半導体装置及びその製造方法
JP4877454B2 (ja) * 2005-03-18 2012-02-15 Jsr株式会社 表面疎水化方法、ならびに半導体装置およびその製造方法
JP2008010610A (ja) * 2006-06-29 2008-01-17 Sony Corp 半導体装置の製造方法
JP2013026399A (ja) * 2011-07-20 2013-02-04 Hitachi High-Technologies Corp プラズマ処理方法及びプラズマアッシング装置
JP2013187279A (ja) * 2012-03-07 2013-09-19 Nippon Zeon Co Ltd 平坦化膜及びそれを備える電子部品

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2014084219A1 (ja) * 2012-11-29 2014-06-05 大阪有機化学工業株式会社 親水性コート剤

Also Published As

Publication number Publication date
US20170294344A1 (en) 2017-10-12
WO2016042898A1 (ja) 2016-03-24
JP2016063141A (ja) 2016-04-25
TW201622029A (zh) 2016-06-16
JP6315694B2 (ja) 2018-04-25
US10083859B2 (en) 2018-09-25

Similar Documents

Publication Publication Date Title
TWI671834B (zh) 半導體裝置之製造方法、以及表面覆膜之形成方法及形成裝置
JP7483839B2 (ja) エアギャップの形成方法
KR100810163B1 (ko) 반도체 장치의 제조 방법, 기판 처리 시스템 및 기록 매체
JP5057647B2 (ja) 半導体装置の製造方法および半導体装置の製造装置
US7510972B2 (en) Method of processing substrate, post-chemical mechanical polishing cleaning method, and method of and program for manufacturing electronic device
KR101049491B1 (ko) 기판 처리 방법 및 컴퓨터 판독 가능한 기억 매체
JP5425404B2 (ja) アモルファスカーボン膜の処理方法およびそれを用いた半導体装置の製造方法
JP5662081B2 (ja) 基板処理方法および基板処理装置
JP2008182174A (ja) 半導体装置およびその製造方法
JP4800235B2 (ja) 処理方法
US8377818B2 (en) Aftertreatment method for amorphous carbon film
JP5248652B2 (ja) 基板処理方法および基板処理装置
JP7026704B2 (ja) 基板処理方法、基板処理装置およびエッチング液
JP2012195613A (ja) 基板処理方法および記憶媒体
JP5247999B2 (ja) 基板処理方法およびコンピュータ読取可能な記憶媒体
KR100870806B1 (ko) 반도체 디바이스의 제조 방법
JP6310583B2 (ja) 基板処理方法および基板処理装置
JP5674851B2 (ja) 基板処理方法および基板処理装置
JP5138291B2 (ja) アモルファスカーボン膜の後処理方法およびそれを用いた半導体装置の製造方法
JP6118309B2 (ja) 基板処理方法
TW202314807A (zh) 完全自對準通孔整合處理

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees