TWI671786B - 清潔高深寬比通孔 - Google Patents

清潔高深寬比通孔 Download PDF

Info

Publication number
TWI671786B
TWI671786B TW105110593A TW105110593A TWI671786B TW I671786 B TWI671786 B TW I671786B TW 105110593 A TW105110593 A TW 105110593A TW 105110593 A TW105110593 A TW 105110593A TW I671786 B TWI671786 B TW I671786B
Authority
TW
Taiwan
Prior art keywords
plasma
substrate processing
hydrogen
area
aspect ratio
Prior art date
Application number
TW105110593A
Other languages
English (en)
Other versions
TW201709267A (zh
Inventor
劉杰
朴勝
王安川
崔振江
英格爾尼汀K
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201709267A publication Critical patent/TW201709267A/zh
Application granted granted Critical
Publication of TWI671786B publication Critical patent/TWI671786B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/3065Plasma etching; Reactive-ion etching
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02041Cleaning
    • H01L21/02057Cleaning during device manufacture
    • H01L21/0206Cleaning during device manufacture during, before or after processing of insulating layers
    • H01L21/02063Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/0237Materials
    • H01L21/02373Group 14 semiconducting materials
    • H01L21/02381Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02598Microstructure monocrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/302Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
    • H01L21/306Chemical or electrical treatment, e.g. electrolytic etching
    • H01L21/308Chemical or electrical treatment, e.g. electrolytic etching using masks
    • H01L21/3081Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31105Etching inorganic layers
    • H01L21/31111Etching inorganic layers by chemical means
    • H01L21/31116Etching inorganic layers by chemical means by dry-etching
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B41/00Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
    • H10B41/20Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B41/23Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B41/27Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H10SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
    • H10BELECTRONIC MEMORY DEVICES
    • H10B43/00EEPROM devices comprising charge-trapping gate insulators
    • H10B43/20EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
    • H10B43/23EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
    • H10B43/27EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02367Substrates
    • H01L21/02428Structure
    • H01L21/0243Surface structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • H01L21/321After treatment
    • H01L21/3213Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
    • H01L21/32133Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
    • H01L21/32135Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
    • H01L21/32136Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
    • H01L21/32137Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Materials Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Drying Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Semiconductor Memories (AREA)
  • Non-Volatile Memory (AREA)

Abstract

本發明描述一種自通孔移除非晶矽/氧化矽膜堆疊之方法。方法可涉及包含氟的遠端電漿及包含氟及遠端電漿中未激發之含氮與氫的前驅物的局部電漿以移除氧化矽。方法可隨後涉及惰性物種之局部電漿以潜在地移除任何薄碳層(光阻劑的殘留物)並處理非晶矽層,為移除作準備。方法可隨後涉及利用相同基板處理區域內可能的若干選項移除經處理之非晶矽層。通孔之底部可隨後具有已曝露單晶矽,此已曝露單晶矽有益於磊晶單晶矽膜生長。本文所呈現之方法可特別適用於三維NAND(例如,VNAND)裝置形成。

Description

清潔高深寬比通孔
本文之標的係關於在製造期間清潔高深寬比通孔。
可能藉由多個製程製造積體電路,此等製程在基板表面上產生複雜的圖案化材料層。在基板上產生圖案化材料需要用於移除已曝露材料之受控方法。化學蝕刻用於各種目的,包括將光阻劑中的圖案轉印至下層中,薄化層或薄化表面上已存在的特徵之側向尺寸。通常,需要具有蝕刻製程,此蝕刻製程相較於另一種材料更快地蝕刻一種材料,以幫助例如圖案轉印製程的進行。此蝕刻製程據說具有相較於第二材料對第一材料的選擇性。作為材料、電路及製程多樣性的結果,已開發出對各種材料具有選擇性的蝕刻製程。
乾式蝕刻製程通常適用於自半導體基板選擇性移除材料。適用性源自利用最小實體破壞自微型結構輕微移除材料的能力。乾式蝕刻製程亦允許藉由移除氣相試劑驟然停止蝕刻速率。一些乾式蝕刻製程涉及基板曝露於由一或更多種前驅物形成的遠端電漿副產物中以 實現高蝕刻選擇性。所實現之高選擇性賦能新穎製程序列。
需要方法來擴展製程序列,此等製程序列利用由此等新穎遠端電漿乾式蝕刻製程獲得的高蝕刻選擇性。
反應性離子蝕刻(reactive-ion etching;RIE)涉及藉由在預定方向上加速的離子來移除材料。通常存在遮罩以幫助圖案化基板。結合氧化(以移除剩餘遮罩)的轟擊在經圖案化基板中形成的通孔底部處產生具有挑戰性的碎片。本發明描述一種自通孔移除非晶矽/氧化矽膜堆疊之方法。方法可涉及包括氟的遠端電漿及包括氟且進一步由遠端電漿中未激發之含氮與氫的前驅物形成的局部電漿以移除氧化矽。方法可隨後涉及惰性物種之局部電漿以潜在地移除任何薄碳層(光阻劑的殘留物)並處理非晶矽層,為移除作準備。方法可隨後涉及遠端激發含氫前驅物及利用電漿流出物移除經處理之非晶矽層。通孔之底部可隨後具有已曝露單晶矽,此已曝露單晶矽有益於磊晶單晶矽膜生長。本文所呈現之方法可特別適用於三維NAND(例如,VNAND)裝置形成。
本文所揭示之實施例包括蝕刻經圖案化基板之方法。方法包括在經圖案化基板上形成經圖案化的光阻劑層。方法進一步包括將高深寬比通孔反應性離子蝕 刻至經圖案化基板中。反應性離子蝕刻在高深寬比通孔之底部處形成非晶矽層。方法進一步包括自經圖案化基板灰化光阻劑層。灰化在非晶矽層上方形成氧化矽層。方法進一步包括自高深寬比通孔之底部選擇性蝕刻氧化矽層。方法進一步包括自高深寬比通孔之底部選擇性蝕刻非晶矽層,以曝露單晶矽。
可在非晶矽層與氧化矽層之間形成薄碳層。可在相同基板處理區域中發生選擇性蝕刻氧化矽層及選擇性蝕刻非晶矽層之操作。可在選擇性蝕刻氧化矽層與選擇性蝕刻非晶矽層之間移除薄碳層。移除薄碳層可在與選擇性蝕刻氧化矽層及選擇性蝕刻非晶矽層兩者相同的基板處理區域中發生。
本文所揭示之實施例包括蝕刻經圖案化基板之方法。方法包括在基板處理區域中放置經圖案化基板。經圖案化基板具有高深寬比通孔,此通孔具有大於25:1之高度比寬度的深寬比。方法進一步包括使含氟前驅物流入遠端電漿區域中,同時在遠端電漿區域中形成遠端電漿以產生電漿流出物。方法進一步包括使電漿流出物流動穿過第一淋噴頭並流入第一淋噴頭與第二淋噴頭之間的淋噴頭間區域。方法進一步包括在淋噴頭間區域中使電漿流出物與含氮與氫的前驅物組合。含氮與氫的前驅物並未通過任何電漿而形成前驅物組合。方法進一步包括使前驅物組合流動穿過第二淋噴頭,流入基板處理區域中。方法進一步包括在基板處理區域中形成局部 電漿。方法進一步包括自高深寬比通孔之底部移除氧化矽之已曝露部分。
高深寬比通孔之寬度可小於120nm。在組合電漿流出物之操作期間,淋噴頭間區域可為無電漿的。在組合電漿流出物之操作期間,淋噴頭間區域內的電子溫度可低於0.5eV。在組合電漿流出物之操作期間,遠端電漿區域中的電子溫度及基板處理區域中的電子溫度可各自高於0.5eV。移除氧化矽之已曝露部分亦可自高深寬比通孔之側壁以均勻速率移除氧化矽,以使得側壁底部附近的底部移除速率處於側壁頂部附近的頂部移除速率的10%以內。遠端電漿可為電容耦合的且局部電漿可為電容耦合的。方法可進一步包括自高深寬比通孔之底部移除非晶矽部分之操作。可在移除氧化矽之已曝露部分之後發生移除非晶矽部分之操作且移除非晶矽部分之操作可曝露單晶矽。方法可進一步包括在單晶矽上磊晶生長單晶矽之操作。移除非晶矽部分之操作可進一步包括使第二含氟前驅物流入基板處理區域中,同時形成具有氟局部電漿功率的氟局部電漿。移除非晶矽部分之操作包括使含氫前驅物流入遠端電漿區域中,同時形成具有氫遠端電漿功率的氫遠端電漿以形成氫電漿流出物,其中移除非晶矽部分之操作進一步包括使氫電漿流出物流動穿過第一淋噴頭,隨後流入淋噴頭間區域,然後穿過第二淋噴頭,並流入基板處理區域中,且進一步激發基板處理區域中具有氫局部電漿功率的氫局 部電漿中的氫電漿流出物。在使含氫前驅物流動之操作期間,淋噴頭間區域內的電子溫度可低於0.5eV,且在使含氫前驅物流動之操作期間,遠端電漿區域中的電子溫度及基板處理區域中的電子溫度可各自高於0.5eV。
本文所揭示之實施例包括蝕刻經圖案化之基板。方法包括在基板處理區域中放置經圖案化基板。經圖案化基板具有高深寬比通孔,此通孔具有大於25:1之高度比寬度的深寬比。方法進一步包括使含氟前驅物流入遠端電漿區域中,同時在遠端電漿區域中形成遠端電漿以產生電漿流出物。方法進一步包括使電漿流出物流動穿過第一淋噴頭並流入第一淋噴頭與第二淋噴頭之間的淋噴頭間區域。方法進一步包括使電漿流出物與含氮與氫的前驅物組合,此含氮與氫的前驅物並未通過任何電漿而形成前驅物組合。方法進一步包括使前驅物組合流動穿過第二淋噴頭,流入基板處理區域中。方法進一步包括在基板處理區域中形成局部電漿。方法進一步包括自高深寬比通孔之底部移除氧化矽之已曝露部分。方法進一步包括使惰性氣體流入基板處理區域中,同時自惰性氣體形成濺射局部電漿。方法進一步包括濺射經圖案化基板。方法進一步包括使含氟前驅物流入基板處理區域中,同時形成具有氟局部電漿功率的氟局部電漿。方法進一步包括自高深寬比通孔之底部移除非晶矽及曝露已曝露單晶矽。
在以下描述中部分地闡述額外實施例及特徵,且此等實施例及特徵將在說明書之審視後對熟習此項技術者部分地變得顯而易見或可藉由所揭示實施例之實踐而習得。可經由本說明書中所描述之工具、組合及方法實現及達成所揭示實施例之特徵及優勢。
101‧‧‧經圖案化基板
102‧‧‧高深寬比通孔之底部
103‧‧‧磊晶矽
105‧‧‧氧化矽
110‧‧‧氮化矽
201‧‧‧通孔清潔製程
210~250‧‧‧操作
1001‧‧‧基板處理腔室
1002‧‧‧遠端電漿系統
1003‧‧‧冷却板
1005‧‧‧氣體入口組件
1010‧‧‧流體供應系統
1015‧‧‧腔室電漿區域
1017‧‧‧面板
1018‧‧‧體積
1019‧‧‧第一流體通道
1020‧‧‧絕緣環
1021‧‧‧第二流體通道
1023‧‧‧離子抑制器
1025‧‧‧第一淋噴頭
1026‧‧‧淋噴頭間區域
1027‧‧‧第二淋噴頭
1031‧‧‧貫穿孔
1033‧‧‧基板處理區域
1055‧‧‧基板
1058‧‧‧氣體供應區域
1065‧‧‧基板支撑件/基座
1101‧‧‧處理系統
1102‧‧‧負載鎖定腔室
1104‧‧‧機器人臂
1106‧‧‧固持區域
1108a~1108f‧‧‧基板處理腔室
1110‧‧‧第二機器人臂
可藉由參考本說明書及圖式之剩餘部分實現實施例之本質及優勢之進一步理解。
第1A圖係根據實施例的在示例性通孔清潔製程期間的裝置之橫截面視圖。
第1B圖係根據實施例的在示例性通孔清潔製程期間的裝置之橫截面視圖。
第2圖係根據實施例的通孔清潔製程之流程圖。
第3A圖圖示根據實施例的基板處理腔室之示意性橫截面視圖。
第3B圖圖示根據實施例的基板處理腔室的一部分之示意性橫截面視圖。
第3C圖圖示根據實施例的淋噴頭之仰視圖。
第4圖圖示根據實施例的示例性基板處理系統之俯視圖。
在隨附圖式中,相同部件及/或特徵可具有相同元件符號。進一步地,相同類型之各部件可藉由在元件符號後帶有短劃線及區別於相似部件的第二標號而加 以區別。若本說明書中僅使用第一元件符號,則描述適用於具有相同第一元件符號的相同部件之任一者,與第二元件符號無關。
反應性離子蝕刻(reactive-ion etching;RIE)涉及藉由在預定方向上加速的離子移除材料。通常存在遮罩以幫助圖案化基板。結合氧化(以移除剩餘遮罩)的轟擊在經圖案化之基板中形成的通孔底部處產生具有挑戰性的碎片。本發明描述一種自通孔移除非晶矽/氧化矽膜堆疊之方法。方法可涉及包括氟的遠端電漿及包括氟且進一步由遠端電漿中未激發之含氮與氫的前驅物形成的局部電漿以移除氧化矽。方法可隨後涉及惰性物種之局部電漿以潜在地移除任何薄碳層(光阻劑的殘留物)及處理非晶矽層,為移除作準備。方法可隨後涉及遠端激發含氫前驅物及利用電漿流出物移除經處理之非晶矽層。通孔之底部可隨後具有已曝露單晶矽,此單晶矽有益於磊晶單晶矽膜生長。本文所呈現之方法可特別適用於三維NAND(例如,VNAND)裝置形成。
已設計出最近開發的氣相遠端蝕刻製程,部分地用以移除使精細表面圖案曝露於液體蝕刻劑中的需要。隨著線寬減小,液體蝕刻劑逐漸導致精細表面圖案坍塌。本文所呈現之方法使得良率、效能及成本降低能够進一步得以改良。方法涉及在相同基板處理腔室之相同基板區域中執行若干操作。在本文所描述之適宜操作 (例如,在別處可執行矽之RIE、灰化及磊晶生長)期間,基板處理區域可保持在真空下。先前技術之液體蝕刻製程並非本文所呈現之整合製程序列類型的候選製程。
為了更好地理解及瞭解本文所描述之實施例,現參看第1A圖及第1B圖,兩圖係根據實施例的形成三維快閃記憶體單元之通孔清潔製程201(參看第2圖)期間的三維快閃記憶體單元之橫截面視圖。在一個範例中,經圖案化基板101上的快閃記憶體單元包含替代堆疊氧化矽105及氮化矽110。氮化矽為犧牲性的且意欲在完成裝置之前用導體置換。本文將使用「頂部」及「上」描述自基板平面之垂直遠端且在垂直方向上遠離基板之質量中心的部分/方向。「垂直」將用於描述在「上」方向上朝向「頂部」對準的物品。可使用含義現將明確之其他類似術語。
在操作210中,在氧化矽105與氮化矽110之兩個相鄰垂直堆疊之間形成具有高(高度比寬度)深寬比的垂直通孔,且此垂直通孔可稱為高深寬比通孔。操作210可涉及根據實施例的反應性離子蝕刻(RIE)經圖案化之基板。在實施例中,高深寬比通孔可形成於其他材料中且可形成於材料之均质層中。高深寬比通孔可為第1A圖至第1B圖所示之記憶體孔且可用於形成三維NAND,三維NAND亦可稱為VNAND(垂直NAND)。從上方檢視,高深寬比通孔可為圓形。反應性離子蝕刻 可使用經圖案化光阻劑以幫助約束反應性離子蝕刻以在操作210中蝕刻出高深寬比通孔。隨後可藉由灰化且例如藉由使經圖案化基板曝露於臭氧中來移除光阻劑,此亦視為操作210的一部分。
在操作210結束時,高深寬比通孔之底部102可能具有一些碎片,需要移除此等碎片以顯露高深寬比通孔之底部102處的單晶矽。反應性離子蝕刻引發高深寬比通孔之底部102處的單晶矽之某種濺射,從而將薄層轉化成非晶矽。自經圖案化基板101灰化光阻劑可在非晶矽上形成薄含碳層(亦稱為薄碳層)且亦可在薄碳層上形成氧化矽之薄層。薄氧化矽層可為富矽氧化物(silicon-rich oxide;SRO)層。本文所呈現之方法之益處為高深寬比通孔之底部102處的單晶矽之曝露。方法使得單晶矽能够磊晶生長,從而能够形成較高效能的VNAND裝置。
可(視情況)用可能藉由原子層沉積(atomic layer deposition;ALD)沉積之非常薄的保形氧化矽層覆蓋氧化矽105及氮化矽110之堆疊。在第1A圖至第1B圖中未圖示薄保形氧化矽層,因為此層為可選且若存在則非常薄。在實施例中,薄保形氧化矽層可具有小於或約6nm或小於5nm的厚度。在實施例中,薄保形氧化矽層可包含矽及氧或由矽及氧組成。當使用液體蝕刻劑時,任何上覆層之所約束幾何形狀及厚度對合併高深寬比通孔的裝置產生損傷,進一步促進本文所呈現之 氣相蝕刻方法。液體蝕刻劑無法與完全移除一樣並繼續蝕刻。在完成製造之後,液體蝕刻劑可最終形成及/或穿透針孔並損傷裝置。
在反應性離子蝕刻及光阻劑移除之後,經圖案化基板可具有基板之單晶矽上的非晶矽層上的非常薄碳層上的氧化矽之膜堆疊。堆疊可存在於高深寬比通孔之底部102處且可藉由以下操作加以移除以曝露單晶矽,為VNAND主動區域之磊晶生長作準備。在基板處理腔室之基板處理區域中放置經圖案化基板101。隨後將三氟化氮流引入到遠端電漿區域中,在此區域中,在獨立電漿區域內撞擊的遠端電漿中激發三氟化氮。在遠端電漿中形成電漿流出物且電漿流出物流動穿過第一淋噴頭。在操作220中,電漿流出物與任何電漿中尚未激發的氨組合,並使此組合物流動穿過第二淋噴頭並流入基板處理區域中。在操作220中,在基板處理區域中撞擊局部電漿且移除高深寬比通孔之底部處的氧化矽。隨後自基板處理區域移除未使用的製程流出物。
獨立電漿區域可在本文中稱為遠端電漿區域且可位於與處理腔室不同的模組內或藉由孔或淋噴頭與基板處理區域分離的處理腔室內的隔室內。根據實施例,電漿流出物可通過第一淋噴頭及/或離子抑制器以降低第一淋噴頭與第二淋噴頭之間區域中的電子溫度(以降低離子濃度)。第一淋噴頭與第二淋噴頭之間的區域可在本文中稱為淋噴頭間區域。淋噴頭間區域可為無電 漿以增加選擇性且可稱為無電漿淋噴頭間區域。兩個淋噴頭之存在可避免兩個獨立電漿之間的串饋(cross-talk),亦已發現有益於蝕刻選擇性。與操作220中的其他已曝露材料(例如,多晶矽或矽)相比,已發現淋噴頭間區域中的電子溫度下降會增加氧化矽之蝕刻選擇性。稍後在本說明書中描述定性為「無電漿(plasma-free)」的低電子溫度(例如,<0.5eV)。同時,在操作220期間,遠端電漿區域及基板處理區域中的電子溫度可大於0.5eV。隨後將描述適當低的電子溫度及實現此等溫度之方法。
大體而言,可使含氟前驅物流入遠端電漿區域中且含氟前驅物包含選自由F、F2、BrF3、ClF3、NF3、HF、氟化烴、SF6及XeF2組成之群組中的至少一種前驅物。
大體而言,可使用含氮與氫的前驅物代替氨。含氮與氫的前驅物可僅由氮及氫(例如,上文範例中所使用的氨(NH3))組成。在實施例中,含氮與氫的前驅物可為肼(N2H4)。可使含氮與氫的前驅物直接流入無電漿淋噴頭間區域中,無需在進入無電漿淋噴頭間區域之前先使未激發前驅物通過任何電漿。在實施例中,含氮與氫的前驅物可稱為未激發前驅物,因為此前驅物並未通過遠端電漿區域或任何電漿。可僅藉由遠端電漿區域中所形成之電漿流出物激發未激發的前驅物。未激發的前驅物可為NxHy(其中x及y各自大於或等於1)。舉例而言,在實施例中,未激發前驅物可為氨。剛描述 之未激發的前驅物之存在可增加蝕刻操作220的氧化矽選擇性。電漿流出物可通過淋噴頭及/或離子抑制器以在與未激發的NxHy前驅物組合之前降低無電漿淋噴頭間區域中的電子溫度(以降低離子濃度)。
隨後,在操作230中,可使惰性氣體(例如,Ar)流入基板處理區域中。在操作230期間,可使惰性氣體流入遠端電漿區域中或直接流入基板處理區域中。大體而言,惰性氣體可僅包含氣體或僅由氣體組成,在實施例中,此等氣體在經圖案化基板內未形成共價鍵。根據實施例,惰性氣體可包含Ne、Ar或He中的一或更多者或由Ne、Ar或He中的一或更多者組成。在實施例中,操作230可自高深寬比通孔之底部102移除碳層。操作230亦可在底部102處製備非晶矽以便在下一操作期間移除。根據實施例,若省略操作230,則操作250(待描述)可能未自底部102成功移除非晶矽。
隨後,在操作240-1中,可使含氫前驅物(例如,H2)流入基板處理區域中。在操作240-1期間,可使含氫前驅物流入遠端電漿區域中。大體而言,根據實施例,含氫前驅物可包括原子氫、分子氫、氨、烴及不完全鹵素取代的烴中的一或更多者。在實施例中,含氫前驅物可包含氫或僅由氫組成。在遠端電漿區域中撞擊氫遠端電漿以激發含氫前驅物並形成電漿流出物。由含氫前驅物形成的電漿流出物可通過第一淋噴頭並流入淋噴頭間區域中且隨後流入基板處理區域中。在基板處理 區域中,可在氫局部電漿中進一步激發電漿流出物以將所激發物種向下導引至經圖案化基板101中的高深寬比通孔之底部。以此方式,在操作250中,所激發物種移除非晶矽並曝露單晶矽。自基板處理區域移除未使用的製程流出物。與操作240-1中的其他已曝露材料(例如,多晶矽或矽)相比,已發現淋噴頭間區域中的電子溫度下降會增加非晶矽之蝕刻選擇性。稍後在本說明書中描述稱為「無電漿」的低電子溫度(例如,<0.5eV)。同時,在操作240-1期間,遠端電漿區域及基板處理區域中的電子溫度可大於0.5eV。
如第1B圖所示,可隨後使用經圖案化基板101上的單晶矽之已曝露部分生長磊晶矽103以形成高遷移率通道的材料。可在相對較高基板溫度下使經圖案化基板101曝露於矽烷、二矽烷、二氯甲矽烷或另一含矽前驅物中來生長磊晶矽103。根據實施例,經圖案化基板101之溫度可大於650℃、大於700℃或大於800℃。根據實施例,可在另一基板處理腔室中執行磊晶矽103之磊晶生長,使得可在執行磊晶之前自基板處理區域移除經圖案化基板101。
如第1A圖至第1B圖所示,高深寬比通孔具有高的高度比寬度的深寬比。從上方檢視,高深寬比通孔可具有低深寬比,低深寬比使得難以自高深寬比通孔之底部102移除碎片並產生本文所呈現之方法之益處。從平置的經圖案化基板上方檢視,通孔可呈例如圓形。在 實施例中,通孔可填充或可未填充磊晶生長矽。根據實施例,高深寬比通孔之寬度可小於120nm、小於100nm、小於80nm或小於60nm。從上方檢視,高深寬比通孔之深寬比可為約1:1。在實施例中,高深寬比通孔之高度比寬度的深寬比可大於35:1、大於40:1或大於50:1。
在通孔清潔製程201之操作期間,可使前驅物流入基板處理腔室之適當區域中。在操作220期間,可使含氟前驅物以25sccm(standard cubic centimeters per minute;標準立方公分/分鐘)與500sccm之間的流動速率流入遠端電漿區域中。在操作220期間,將含氮與氫的前驅物(例如,NH3)以25sccm與2,000sccm之間的流動速率供應至淋噴頭間區域。在操作230期間,以50sccm與3,000sccm之間的流動速率供應惰性氣體(例如,Ar)。可使含氫前驅物以25sccm與3,000slm之間的流動速率流入遠端電漿區域中。本技術領域中的一般技藝者應認識到,可取決於包括處理腔室配置、基板尺寸、正經蝕刻之特徵之幾何形狀及佈局的眾多因素使用其他氣體及/或流。
含氟前驅物、含氮與氫的前驅物及含氫前驅物的流可進一步包括一或更多種相對惰性氣體,諸如He、N2、Ar。惰性氣體可用於改良電漿穩定性或製程均勻性。氬作為添加劑幫助促進穩定電漿的形成。當包括氦時,大體上增加製程均勻性。在貫穿本說明書的實 施例中存在此等添加劑。可使用不同氣體之流動速率及比率控制蝕刻速率及蝕刻選擇性。
在實施例中,第一淋噴頭與第二淋噴頭中的開口數量及尺寸可使得遠端電漿區域、淋噴頭間區域及基板處理區域中的壓力基本上相同。根據實施例,在操作220期間,遠端電漿區域、淋噴頭間區域及基板處理區域中的壓力可介於0.01托與50托之間、0.1托與15托之間或0.5托與5托之間。在實施例中,在操作230期間,遠端電漿區域、淋噴頭間區域及基板處理區域中的壓力可介於0.001托與5托之間、0.01托與1托之間或0.03托與0.3托之間。根據實施例,在操作240-1期間,遠端電漿區域、淋噴頭間區域及基板處理區域中的壓力可介於0.01托與50托之間、0.05托與10托之間或0.3托與3托之間。在實施例中,在操作220、230及/或240-1期間,經圖案化基板之溫度可介於0℃與300℃之間、10℃與250℃之間或50℃與200℃之間。
通孔清潔方法201亦包括在操作220、230及240-1中在遠端電漿區域及/或基板處理區域中施加能量至前驅物。在一實施例中,使用射頻(radio frequency;RF)功率及電容耦合電漿板施加能量以視情況在遠端電漿區域及基板處理區域中形成電容耦合電漿。可使用電容耦合電漿單元藉由在適當區域上方板與下方板之間施加電漿功率來施加遠端電漿功率及局部電漿功率。
在實施例中,在操作220中,施加至遠端電漿區域的遠端電漿功率可介於20瓦特與1,000瓦特之間、40瓦特與800瓦特之間、約60瓦特與約600瓦特之間或約80瓦特與約400瓦特之間。可遠離基板處理區域但仍處於基板處理腔室內安置電容耦合電漿單元。舉例而言,可藉由一對淋噴頭使電容耦合電漿單元及電漿產生區域與氣體反應區域分離。在操作220期間,將電漿功率同時施加至基板處理區域。基板處理區域中的電漿可增加高深寬比通孔之壁上的氧化矽蝕刻速率之均質性。在實施例中,除清潔底部之外,可需要均勻加寬通孔。若忽略局部電漿,高深寬比通孔之底部附近的蝕刻速率可比開口附近的蝕刻速率小40%。根據實施例,藉由與遠端電漿功率結合施加局部電漿功率,底部附近的蝕刻速率可處於頂部附近的蝕刻速率之10%以內、8%以內、5%以內或甚至3%以內。在實施例中,局部電漿功率可介於5瓦特與800瓦特之間、10瓦特與700瓦特之間、25瓦特與500瓦特之間或50瓦特與200瓦特之間。局部電漿功率可增加高深寬比通孔之底部處的反應性物種之相對濃度,而不明顯損害與本文所描述之淋噴頭及可選離子抑制器結合的遠端電漿功率所提供之高選擇性。
在實施例中,在操作230中,並未將遠端電漿功率施加至遠端電漿區域。根據實施例,在操作230期間,將電漿功率施加至基板處理區域。基板處理區域 中的電漿可加快惰性氣體流向基板並自高深寬比通孔之底部移除碳層。製程可稱為濺射且濺射改良了碳層下方的非晶矽部分,以使得操作240可移除經改良之非晶矽部分。在實施例中,局部電漿功率可介於5瓦特與800瓦特之間、10瓦特與700瓦特之間、25瓦特與500瓦特之間或50瓦特與200瓦特之間。
在實施例中,在操作240-1中,施加至遠端電漿區域的遠端電漿功率可介於20瓦特與1,000瓦特之間、40瓦特與800瓦特之間、約60瓦特與約600瓦特之間或約80瓦特與約400瓦特之間。在操作240-1期間,將電漿功率同時施加至基板處理區域。在實施例中,局部電漿功率可介於5瓦特與800瓦特之間、10瓦特與700瓦特之間、25瓦特與500瓦特之間或50瓦特與200瓦特之間。局部電漿功率可增加高深寬比通孔之底部處的反應性物種之相對濃度,而不明顯損害與本文所描述之淋噴頭及可選離子抑制器結合的遠端電漿功率所提供之高選擇性。
根據實施例,亦已開發出替代解決方案來移除非晶矽,從而以另一方式替換操作240-1並完成操作250。在替代操作240-2中,可使含氟前驅物流入基板處理區域中。在實施例中,含氟前驅物可包括選自由F、F2、BrF3、ClF3、NF3、HF、氟化烴、SF6及XeF2組成之群組中的至少一種前驅物。在基板處理區域中撞擊氟局部電漿以激發含氟前驅物。含氟前驅物可或可不 流動穿過遠端電漿區域及淋噴頭,因為不一定真的需要遠端激發。氟局部電漿可向下導引所激發物種至經圖案化基板101中的高深寬比通孔之底部。以此方式,在操作250中,所激發物種優先移除非晶矽並曝露單晶矽。自基板處理區域移除未使用的製程流出物。在替代操作240-2期間,基板處理區域中的電子溫度可大於0.5eV。根據實施例,在操作240-2期間,基板處理區域中的壓力可介於0.001托與5托之間、0.01托與1托之間或0.03托與0.3托之間。替代操作240-2包括在基板處理區域中施加能量至前驅物。在實施例中,局部電漿功率可介於5瓦特與800瓦特之間、10瓦特與700瓦特之間、25瓦特與500瓦特之間或50瓦特與350瓦特之間。在實施例中,在操作240-2期間,可使含氟前驅物以0.2sccm與100sccm之間、1sccm與20sccm之間或2sccm與15sccm之間的流動速率流入基板處理區域中。亦可在操作240-2期間將氦供應至基板處理區域中且可以100sccm與500sccm之間的流動速率提供氦。
儘管可選使用本文所描述之多個遠端電漿操作中的局部電漿激發,但可使用離子抑制器(可為淋噴頭)提供進入第一淋噴頭與第二淋噴頭之間的淋噴頭間區域的自由基及/或中性物種。離子抑制器亦可稱為離子抑制元件。在實施例中,例如,離子抑制器用於在自遠端電漿區域至淋噴頭間區域的途中過濾蝕刻電漿流出物。離子抑制器可用於提供具有比離子濃度高的自由基 的反應性氣體。電漿流出物通過遠端電漿區域與淋噴頭間區域之間安置的離子抑制器。離子抑制器作用以顯著減少或實質上消除自電漿產生區域移動至第二淋噴頭的離子物種。
在遠端電漿中的電漿之激發期間,可在淋噴頭間區域中使用朗謬探針(Langmuir probe)量測電子溫度。電子溫度可小於0.5eV、小於0.45eV、小於0.4eV或小於0.35eV。淋噴頭及/或離子抑制器之存在賦能電子溫度之此等極低的值。不帶電中性及自由基物種可通過離子抑制器中的開口及進入淋噴頭間區域中。
淋噴頭間區域可在本文中描述為在本文所描述之蝕刻操作期間「無電漿」。「無電漿」不一定意謂區域缺乏電漿。電漿區域內產生的游離物種及自由電子可在極小濃度下移動穿過分隔件(淋噴頭)中的微孔(孔)。腔室電漿區域中的電漿邊界可穿過淋噴頭中的孔在一定小程度上侵佔淋噴頭間區域。此外,可在淋噴頭間區域中產生低強度電漿,而不消除本文所描述之蝕刻製程之所欲特徵。在所激發電漿流出物之產生期間,電漿具有比腔室電漿區域強度低的離子密度的所有原因不偏離本文所使用之「無電漿」之範疇。在實施例中,基板處理區域或遠端電漿區域亦可取決於操作而無電漿。
第3A圖圖示示例性基板處理腔室1001之橫截面視圖,其中在處理腔室內具有分隔的電漿產生區 域。在膜蝕刻期間,可使製程氣體經由氣體入口組件1005流入腔室電漿區域1015。遠端電漿系統(remote plasma system;RPS)1002可視情況被包括在系統中,且可處理第一氣體,第一氣體隨後移動穿過氣體入口組件1005。可在進入腔室電漿區域1015之前在RPS 1002內激發製程氣體。因此,在實施例中,上文所論述之適宜前驅物(例如,含氟前驅物或含氫前驅物)可通過RPS 1002或繞過RPS單元。
根據實施例,圖示冷却板1003、面板1017、離子抑制器1023、第一淋噴頭1025、第二淋噴頭1027及基板支撑件1065(亦稱為基座),基板支撑件上安置有基板1055,且可包括上述各者。基座1065可具有熱交換通道,熱交換流體流動穿過此熱交換通道以控制基板之溫度。此配置可允許冷却或加熱基板1055溫度以維持相對低溫,諸如-20℃至200℃之間。亦可使用嵌入式加熱器元件電阻加熱基座1065至相對高溫,諸如100℃與1100℃之間。
示例性配置可包括具有通向氣體供應區域1058的氣體入口組件1005,藉由面板1017使氣體供應區域與腔室電漿區域1015分隔,使得氣體/物種流動穿過面板1017中的孔,流入腔室電漿區域1015中。可選擇結構及操作特徵以防止電漿自腔室電漿區域1015回到供應區域1058、氣體入口組件1005及流體供應系統1010的明顯回流。結構特徵可包括面板1017中的孔之 尺寸及橫截面幾何形狀的選擇以停用回流電漿。圖示面板1017或腔室之導電頂部部分及第一淋噴頭1025,其中在特徵之間安置有絕緣環1020,從而允許施加AC電位至相對於第一淋噴頭1025及/或離子抑制器1023的面板1017。絕緣環1020可位於面板1017與淋噴頭1025及/或離子抑制器1023之間,使得電容耦合電漿(capacitively coupled plasma;CCP)能夠在腔室電漿區域1015中得以形成。
離子抑制器1023中的複數個孔可經配置以控制活化氣體(亦即,離子、自由基及/或中性物種)通過離子抑制器1023。舉例而言,可控制孔之深寬比或孔直徑比長度及/或孔之幾何形狀,使得通過離子抑制器1023的活化氣體中的離子帶電物種之流動減少。離子抑制器1023中的孔可包括面向腔室電漿區域1015的錐形部分及面向第一淋噴頭1025的圓柱形部分。圓柱形部分可經成形及定尺寸以控制離子物種傳遞至第一淋噴頭1025之流動。亦可施加可調電偏壓至離子抑制器1023作為額外手段來控制離子物種流動穿過離子抑制器1023。離子抑制器1023可等效稱為離子抑制元件1023。離子抑制元件1023可作用以減小或消除自電漿產生區域移動至淋噴頭間區域1026的離子帶電物種的量。不帶電中性及自由基物種可仍通過離子抑制器中的開口。
電漿功率可具有各種頻率或多個頻率之組合。在示例性處理系統中,可藉由輸送至相對於離子抑制器1023及/或第一淋噴頭1025的面板1017的電漿功率提供電漿。在實施例中,電漿功率可介於約10瓦特與約5000瓦特之間。在實施例中,示例性處理系統中所應用之RF頻率可為小於約200kHz的低RF頻率、約10MHz與約15MHz之間的高RF頻率或大於或約1GHz的微波頻率。電漿功率可經電容耦合(capacitively-coupled;CCP)至遠端電漿區域中。具有相似操作參數的相似CCP可用於在基板處理區域1033中形成局部電漿。
衍生自腔室電漿區域1015中的製程氣體的所激發物種可移動穿過離子抑制器1023中的孔及/或第一淋噴頭1025並與直接流入淋噴頭間區域1026中的額外前驅物反應。額外前驅物可在進入淋噴頭間區域1026之前未在任何電漿中被激發。額外前驅物可自第一淋噴頭1025之獨立部分進入淋噴頭間區域1026。或者,若在腔室電漿區域1015中正激發所有前驅物物種,則可無額外前驅物流動穿過第一淋噴頭1025之獨立部分。在實施例中,在本文所描述之遠端電漿蝕刻製程期間,在淋噴頭間區域1026中可存在極少電漿或無電漿。前驅物之所激發衍生物可在淋噴頭間區域1026中組合。隨後可使前驅物及/或電漿流出物之組合經由第二淋噴頭1027流入基板處理區域1033中以與基板反應來蝕刻結構或自 基板移除物種。第二淋噴頭1027可具有比第一淋噴頭1025小的貫穿孔。可在基板處理區域1033中形成局部電漿,同時在腔室電漿區域1015中具有遠端電漿。或者,在實施例中,基板處理區域1033可為無電漿的。
第3B圖圖示實行處理氣體分配穿過面板1017的特徵之詳細視圖。供處理腔室區段1001中使用的氣體分配組件(諸如第一淋噴頭1025)可稱為雙通道淋噴頭(dual channel showerhead;DCSH)且本文在第3A圖以及第3C圖中所描述之實施例中另外詳細描述此等氣體分配組件。雙通道淋噴頭可提供用於蝕刻製程,此等蝕刻製程允許基板處理區域1033外部的蝕刻劑之分離,以在輸送至基板處理區域1033之前提供與腔室部件及彼此的受限相互作用。
第一淋噴頭1025可經配置以界定體積1018,此體積僅對基板處理區域1033開口且並未直接進入腔室電漿區域1015中。第一淋噴頭1025可經配置以提供全程穿過第一淋噴頭1025的第一流體通道1019及自體積1018至基板處理區域1033的第二流體通道1021。所形成通道可經配置以提供自體積1018僅經由第二流體通道1021的流體出入口,且可使第一流體通道1019與位於平板與第二流體通道1021之間的體積1018流體隔離。可經由氣體分配組件1025之側面流體地出入體積1018。儘管第3A圖至第3C圖之示例性系統包括雙通道淋噴頭,但應理解,可使用替代分配組件, 此等替代分配組件在基板處理區域1033之前維持第一前驅物與第二前驅物流體隔離。舉例而言,可使用穿孔板及板下方的管道,儘管其他配置可在降低的效率下操作或可無法提供所描述之雙通道淋噴頭一樣的均勻處理。
在所示實施例中,第一淋噴頭1025可經由第一流體通道1019分配藉由腔室電漿區域1015中的電漿激發後含有電漿流出物的製程氣體。在實施例中,引入到RPS 1002及/或腔室電漿區域1015中的製程氣體可含有氟或含氫前驅物,諸如H2。製程氣體亦可包括載氣,諸如氦、氬、氮(N2)等等。電漿流出物可包括製程氣體之游離或中性衍生物且在本文中亦可稱為氟自由基前驅物,指示所引入製程氣體之原子成分。
第3C圖係實施例中的供處理腔室使用之第一淋噴頭1025之仰視圖。第一淋噴頭1025與第3A圖所示之淋噴頭對應。圖示第一流體通道1019之視圖的貫穿孔1031可具有複數個形狀及配置以控制及修改前驅物穿過淋噴頭1025之流動。圖示第二流體通道1021之視圖的小孔1027可在淋噴頭之表面上實質均勻地分佈,甚至分佈在貫穿孔1031中間,此等小孔與其他配置相比可在前驅物離開淋噴頭時有助於提供前驅物之更均勻混合。
可使乾式蝕刻系統之實施例併入較大製造系統中以便生產積體電路晶片。第4圖圖示實施例中的沉 積、蝕刻、烘烤及固化腔室之一個此類處理系統(主框架)1101。在圖式中,一對前端開口式晶圓盒(負載鎖定腔室1102)供應各尺寸之基板,此等基板由機器人臂1104接收並放置到低壓固持區域1106中,然後放置到基板處理腔室1108a至1108f之一者中。第二機器人臂1110可用於將基板晶圓自固持區域1106傳送至基板處理腔室1108a至1108f並返回。各個基板處理腔室1108a至1108f可經配置以執行眾多基板處理操作,包括本文所描述之乾式蝕刻製程,以及循環層沉積(cyclical layer deposition;CLD)、原子層沉積(atomic layer deposition;ALD)、化學氣相沉積(chemical vapor deposition;CVD)、物理氣相沉積(physical vapor deposition;PVD)、蝕刻、預清洗、脫氣、定向及其他基板製程。
作為兩個不同路徑進入基板處理區域中的結果,第一淋噴頭1025可稱為雙通道淋噴頭。可使含氟前驅物或含氫前驅物流動穿過雙區域淋噴頭中的貫穿孔,且輔助前驅物可通過雙區域淋噴頭中的獨立區域。獨立區域可通向基板處理區域,而不是通向上文所描述之遠端電漿區域。
前驅物及電漿流出物流入基板處理區域的組合流動速率可佔總氣體混合物的0.05體積%至約20體積%;剩餘為載氣。在實施例中,流入遠端電漿區域的含氟前驅物或含氫前驅物與電漿流出物具有相同體積流 量比。在含氟前驅物的情況中,在含氟氣體及含氫前驅物流入之前,可使淨化氣體或載氣先開始流入遠端電漿區域中以穩定遠端電漿區域內的壓力。
本文所使用之「基板」可為支撑基板,在支撑基板上形成有層或不具有層。經圖案化之基板可為各種摻雜濃度及輪廓的絕緣體或半導體,且可例如為積體電路之製造中所用類型之半導體基板。經圖案化基板之已曝露「氧化矽」主要為SiO2,但可包括其他元素成分(諸如,例如氮、氫及碳)之濃縮物。在一些實施例中,使用本文所揭示方式蝕刻之氧化矽部分基本上由矽與氧組成。經圖案化基板之已曝露「氮化矽」主要為Si3N4,但可包括其他元素成分(諸如,例如氧、氫及碳)之濃縮物。在一些實施例中,本文所描述之氮化矽部分基本上由矽與氮組成。經圖案化基板之已曝露「矽」主要為Si,但可包括其他元素成分(諸如,例如氮、氧、氫及碳)之濃縮物。在一些實施例中,本文所描述之矽部分基本上由矽組成。
縫隙係具有任何水平深寬比的蝕刻幾何形狀。從表面上方檢視,縫隙可呈現圓形、橢圓形、多邊形、矩形或各種其他形狀。「溝槽」係長縫隙。溝槽可呈圍繞材料島的深溝形狀,溝槽之深寬比為深溝之長度或圓周除以深溝之寬度。從上方檢視,「通孔」係具有水平深寬比的短縫隙,接近統一體。通孔可呈圓形、略微橢圓形、多邊形或略微矩形。如本文所使用,保形蝕 刻製程係指將表面上的材料以與表面相同的形狀大體上統一移除,亦即蝕刻層之表面與預蝕刻表面大體上平行。本技術領域中的一般技藝者將認識到,所蝕刻界面可能無法為100%保形且因此術語「大體上」允許可接受偏差。類似地,保形層係指具有大體上均勻厚度的層。保形層可具有與內表面呈相同形狀的外表面,亦即,外表面與內表面大體上平行。本技術領域中的一般技藝者將認識到,兩個表面可能無法為100%平行且因此術語「大體上」允許可接受偏差。
術語「前驅物」用於指示參與反應以自表面移除材料或將材料沉積在表面上的任何製程氣體。「電漿流出物」描述了離開腔室電漿區域並進入基板處理區域的氣體。電漿流出物處於「激發態」,其中氣體分子中的至少一些處於振動激發態、解離態及/或游離態。「自由基前驅物」用於描述參與反應以自表面移除材料或在表面上沉積材料的電漿流出物(激發態中的氣體,此氣體離開電漿)。「氟自由基前驅物」描述自由基前驅物,此等自由基前驅物包含氟,但可包含其他元素成分。「氫自由基前驅物」描述自由基前驅物,此等自由基前驅物包含碳與氫,但可包含其他元素成分。片語「惰性氣體」係指在蝕刻或併入膜中時未形成化學鍵的任何氣體。示例性惰性氣體包括稀有氣體,但可包括其他氣體,只要在膜中截獲(通常)痕量時未形成化學鍵。
已揭示若干實施例,熟習此項技術者應將認識到,可在不脫離所揭示實施例之精神的情況下使用各種修改、替代結構及等效物。另外,未描述許多熟知製程及元件以避免不必要地模糊本文所描述之實施例。因此,以上描述不應視為限制申請專利範圍之範疇。
在提供值範圍的情況下,應理解,亦特定揭示彼範圍之上限與下限之間的每一中間值,除非上下文另有清楚指示,否則精確到下限的小數點後一位。包含所述範圍中的任何所述值或中間值與彼所述範圍中的任何其他所述值或中間值之間的每一較小範圍。此等較小範圍之上限與下限可被獨立地包括或不包括在範圍內,且在較小範圍中包括上限與下限任一者、兩者皆不包括或兩者兼有的情況下,每一範圍亦被包括在所描述之實施例內,服從所述範圍內的任何特定不包括的界限。在所述範圍包括界限中的一者或兩者的情況下,亦包括排除彼等所包括界限中的任一者或兩者之範圍。
除非上下文另有清楚指示,否則本文及隨附申請專利範圍中所使用之單數形式「一(a/an)」與「該(the)」包括複數個指示物。因此,例如,對「一製程」之引用包括複數個此類製程且對「介電材料」之引用包括對熟習此項技術者所知的一或更多種介電材料及其等效物等等的引用。
又,當在本說明書及以下申請專利範圍中使用時,用詞「包含(comprise/comprising)」與「包 括(include/including/includes)」意欲指定所述特徵、整體、部件或步驟之存在,但不排除一或更多個其他特徵、整體、部件、步驟、動作或群組之存在或添加。

Claims (15)

  1. 一種蝕刻一經圖案化基板之方法,該方法包含以下步驟:在該經圖案化基板上形成一經圖案化的光阻劑層;將一高深寬比通孔反應性離子蝕刻至該經圖案化基板中,其中反應性離子蝕刻在該高深寬比通孔之底部處形成一非晶矽層;自該經圖案化基板灰化該光阻劑層,其中灰化在該非晶矽層上方形成一氧化矽層;自該高深寬比通孔之該底部選擇性蝕刻該氧化矽層;以及自該高深寬比通孔之該底部選擇性蝕刻該非晶矽層,以曝露單晶矽。
  2. 如請求項1所述之方法,其中在該非晶矽層與該氧化矽層之間形成一薄碳層。
  3. 如請求項1所述之方法,其中在一相同基板處理區域中發生選擇性蝕刻該氧化矽層及選擇性蝕刻該非晶矽層之該等操作。
  4. 一種蝕刻一經圖案化基板之方法,該方法包含以下步驟:在一基板處理區域中放置該經圖案化基板,其中該經圖案化基板具有一高深寬比通孔,該通孔具有大於25:1之一高度比寬度的深寬比;使一含氟前驅物流入一遠端電漿區域中,同時在該遠端電漿區域中形成一遠端電漿以產生電漿流出物;使該等電漿流出物流動穿過一第一淋噴頭並流入該第一淋噴頭與一第二淋噴頭之間的一淋噴頭間區域;在該淋噴頭間區域中使該等電漿流出物與一含氮與氫的前驅物組合,其中該含氮與氫的前驅物並未通過任何電漿而形成一前驅物組合;使該前驅物組合流動穿過該第二淋噴頭,流入該基板處理區域中;在該基板處理區域中形成一局部電漿;以及自該高深寬比通孔之該底部移除氧化矽之一已曝露部分。
  5. 如請求項4所述之方法,其中該高深寬比通孔之一寬度小於120nm。
  6. 如請求項4所述之方法,其中在組合該等電漿流出物之該操作期間,該淋噴頭間區域為無電漿的。
  7. 如請求項4所述之方法,其中在組合該等電漿流出物之該操作期間,該淋噴頭間區域內的一電子溫度低於0.5eV,且在組合該等電漿流出物之該操作期間,該遠端電漿區域中的該電子溫度及該基板處理區域中的該電子溫度各自高於0.5eV。
  8. 如請求項4所述之方法,其中移除氧化矽之該已曝露部分之步驟亦自該高深寬比通孔之側壁以一均勻速率移除氧化矽,以使得一側壁底部附近的一底部移除速率處於一側壁頂部附近的一頂部移除速率的10%以內。
  9. 如請求項4所述之方法,其中該遠端電漿為電容耦合的且該局部電漿為電容耦合的。
  10. 如請求項4所述之方法,進一步包含自該高深寬比通孔之該底部移除一非晶矽部分之一操作;其中在移除氧化矽之該已曝露部分之後發生移除該非晶矽部分之該操作,且移除該非晶矽部分之該操作曝露單晶矽。
  11. 如請求項10所述之方法,進一步包含在該單晶矽上磊晶生長單晶矽之一操作。
  12. 如請求項10所述之方法,其中移除該非晶矽部分之該操作進一步包含以下步驟:使一第二含氟前驅物流入該基板處理區域中,同時形成具有一氟局部電漿功率的一氟局部電漿。
  13. 如請求項10所述之方法,其中移除該非晶矽部分之該操作包含以下步驟:使一含氫前驅物流入該遠端電漿區域中,同時形成具有一氫遠端電漿功率的一氫遠端電漿以形成氫電漿流出物,其中移除該非晶矽部分之該操作進一步包含以下步驟:使該等氫電漿流出物流動穿過該第一淋噴頭,隨後流入該淋噴頭間區域,然後穿過該第二淋噴頭,並流入該基板處理區域中,且在該基板處理區域中具有一氫局部電漿功率的一氫局部電漿中進一步激發該等氫電漿流出物。
  14. 如請求項13所述之方法,其中在使該含氫前驅物流動之該操作期間,該淋噴頭間區域內的一電子溫度低於0.5eV,且在使該含氫前驅物流動之該操作期間,該遠端電漿區域中的該電子溫度及該基板處理區域中的該電子溫度各自高於0.5eV。
  15. 一種蝕刻一經圖案化基板之方法,該方法包含以下步驟:在一基板處理區域中放置該經圖案化基板,其中該經圖案化基板具有一高深寬比通孔,該通孔具有大於25:1之一高度比寬度的深寬比;使一含氟前驅物流入一遠端電漿區域中,同時在該遠端電漿區域中形成一遠端電漿以產生電漿流出物;使該電漿流出物流動穿過一第一淋噴頭並流入該第一淋噴頭與一第二淋噴頭之間的一淋噴頭間區域;使該等電漿流出物與一含氮與氫的前驅物組合,該含氮與氫的前驅物並未通過任何電漿而形成一前驅物組合;使該前驅物組合流動穿過該第二淋噴頭,流入該基板處理區域中;在該基板處理區域中形成一局部電漿;自該高深寬比通孔之該底部移除氧化矽之一已曝露部分;使一惰性氣體流入該基板處理區域中,同時自該惰性氣體形成一濺射局部電漿;濺射該經圖案化基板;使一含氟前驅物流入該基板處理區域中,同時形成具有一氟局部電漿功率的一氟局部電漿;以及自該高深寬比通孔之該底部移除非晶矽並曝露已曝露單晶矽。
TW105110593A 2015-04-24 2016-04-01 清潔高深寬比通孔 TWI671786B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US14/695,392 2015-04-24
US14/695,392 US9576788B2 (en) 2015-04-24 2015-04-24 Cleaning high aspect ratio vias

Publications (2)

Publication Number Publication Date
TW201709267A TW201709267A (zh) 2017-03-01
TWI671786B true TWI671786B (zh) 2019-09-11

Family

ID=57144151

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105110593A TWI671786B (zh) 2015-04-24 2016-04-01 清潔高深寬比通孔

Country Status (6)

Country Link
US (1) US9576788B2 (zh)
JP (1) JP6890550B2 (zh)
KR (1) KR102586618B1 (zh)
CN (1) CN107810546B (zh)
TW (1) TWI671786B (zh)
WO (1) WO2016171853A1 (zh)

Families Citing this family (90)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10283321B2 (en) 2011-01-18 2019-05-07 Applied Materials, Inc. Semiconductor processing system and methods using capacitively coupled plasma
US9064815B2 (en) 2011-03-14 2015-06-23 Applied Materials, Inc. Methods for etch of metal and metal-oxide films
US9267739B2 (en) 2012-07-18 2016-02-23 Applied Materials, Inc. Pedestal with multi-zone temperature control and multiple purge capabilities
US9373517B2 (en) 2012-08-02 2016-06-21 Applied Materials, Inc. Semiconductor processing with DC assisted RF power for improved control
US9132436B2 (en) 2012-09-21 2015-09-15 Applied Materials, Inc. Chemical control features in wafer process equipment
US10256079B2 (en) 2013-02-08 2019-04-09 Applied Materials, Inc. Semiconductor processing systems having multiple plasma configurations
US9362130B2 (en) 2013-03-01 2016-06-07 Applied Materials, Inc. Enhanced etching processes using remote plasma sources
US9309598B2 (en) 2014-05-28 2016-04-12 Applied Materials, Inc. Oxide and metal removal
US9966240B2 (en) 2014-10-14 2018-05-08 Applied Materials, Inc. Systems and methods for internal surface conditioning assessment in plasma processing equipment
US9355922B2 (en) 2014-10-14 2016-05-31 Applied Materials, Inc. Systems and methods for internal surface conditioning in plasma processing equipment
US11637002B2 (en) 2014-11-26 2023-04-25 Applied Materials, Inc. Methods and systems to enhance process uniformity
US10573496B2 (en) 2014-12-09 2020-02-25 Applied Materials, Inc. Direct outlet toroidal plasma source
US10224210B2 (en) 2014-12-09 2019-03-05 Applied Materials, Inc. Plasma processing system with direct outlet toroidal plasma source
US11257693B2 (en) 2015-01-09 2022-02-22 Applied Materials, Inc. Methods and systems to improve pedestal temperature control
US20160225652A1 (en) 2015-02-03 2016-08-04 Applied Materials, Inc. Low temperature chuck for plasma processing systems
US9728437B2 (en) 2015-02-03 2017-08-08 Applied Materials, Inc. High temperature chuck for plasma processing systems
US9691645B2 (en) 2015-08-06 2017-06-27 Applied Materials, Inc. Bolted wafer chuck thermal management systems and methods for wafer processing systems
US9741593B2 (en) 2015-08-06 2017-08-22 Applied Materials, Inc. Thermal management systems and methods for wafer processing systems
US9349605B1 (en) 2015-08-07 2016-05-24 Applied Materials, Inc. Oxide etch selectivity systems and methods
US10504700B2 (en) 2015-08-27 2019-12-10 Applied Materials, Inc. Plasma etching systems and methods with secondary plasma injection
US10504754B2 (en) 2016-05-19 2019-12-10 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10522371B2 (en) 2016-05-19 2019-12-31 Applied Materials, Inc. Systems and methods for improved semiconductor etching and component protection
US10062575B2 (en) * 2016-09-09 2018-08-28 Applied Materials, Inc. Poly directional etch by oxidation
US10629473B2 (en) 2016-09-09 2020-04-21 Applied Materials, Inc. Footing removal for nitride spacer
US10062585B2 (en) 2016-10-04 2018-08-28 Applied Materials, Inc. Oxygen compatible plasma source
US9934942B1 (en) 2016-10-04 2018-04-03 Applied Materials, Inc. Chamber with flow-through source
US10546729B2 (en) 2016-10-04 2020-01-28 Applied Materials, Inc. Dual-channel showerhead with improved profile
US10062579B2 (en) 2016-10-07 2018-08-28 Applied Materials, Inc. Selective SiN lateral recess
US9947549B1 (en) 2016-10-10 2018-04-17 Applied Materials, Inc. Cobalt-containing material removal
US9768034B1 (en) 2016-11-11 2017-09-19 Applied Materials, Inc. Removal methods for high aspect ratio structures
US10163696B2 (en) 2016-11-11 2018-12-25 Applied Materials, Inc. Selective cobalt removal for bottom up gapfill
US10242908B2 (en) 2016-11-14 2019-03-26 Applied Materials, Inc. Airgap formation with damage-free copper
US10026621B2 (en) 2016-11-14 2018-07-17 Applied Materials, Inc. SiN spacer profile patterning
US10566206B2 (en) 2016-12-27 2020-02-18 Applied Materials, Inc. Systems and methods for anisotropic material breakthrough
US10403507B2 (en) 2017-02-03 2019-09-03 Applied Materials, Inc. Shaped etch profile with oxidation
US10431429B2 (en) 2017-02-03 2019-10-01 Applied Materials, Inc. Systems and methods for radial and azimuthal control of plasma uniformity
US10043684B1 (en) 2017-02-06 2018-08-07 Applied Materials, Inc. Self-limiting atomic thermal etching systems and methods
US10319739B2 (en) 2017-02-08 2019-06-11 Applied Materials, Inc. Accommodating imperfectly aligned memory holes
US10790140B2 (en) * 2017-02-14 2020-09-29 Applied Materials, Inc. High deposition rate and high quality nitride
US10943834B2 (en) 2017-03-13 2021-03-09 Applied Materials, Inc. Replacement contact process
US10319649B2 (en) 2017-04-11 2019-06-11 Applied Materials, Inc. Optical emission spectroscopy (OES) for remote plasma monitoring
US11276559B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Semiconductor processing chamber for multiple precursor flow
US11276590B2 (en) 2017-05-17 2022-03-15 Applied Materials, Inc. Multi-zone semiconductor substrate supports
US10049891B1 (en) 2017-05-31 2018-08-14 Applied Materials, Inc. Selective in situ cobalt residue removal
US10497579B2 (en) 2017-05-31 2019-12-03 Applied Materials, Inc. Water-free etching methods
US10920320B2 (en) 2017-06-16 2021-02-16 Applied Materials, Inc. Plasma health determination in semiconductor substrate processing reactors
US10541246B2 (en) 2017-06-26 2020-01-21 Applied Materials, Inc. 3D flash memory cells which discourage cross-cell electrical tunneling
US10727080B2 (en) 2017-07-07 2020-07-28 Applied Materials, Inc. Tantalum-containing material removal
US10541184B2 (en) 2017-07-11 2020-01-21 Applied Materials, Inc. Optical emission spectroscopic techniques for monitoring etching
US10354889B2 (en) 2017-07-17 2019-07-16 Applied Materials, Inc. Non-halogen etching of silicon-containing materials
US10170336B1 (en) 2017-08-04 2019-01-01 Applied Materials, Inc. Methods for anisotropic control of selective silicon removal
US10043674B1 (en) 2017-08-04 2018-08-07 Applied Materials, Inc. Germanium etching systems and methods
US10297458B2 (en) 2017-08-07 2019-05-21 Applied Materials, Inc. Process window widening using coated parts in plasma etch processes
CN107731843A (zh) * 2017-08-29 2018-02-23 长江存储科技有限责任公司 一种提高seg生长高度均一性方法
CN107731841A (zh) * 2017-08-29 2018-02-23 长江存储科技有限责任公司 一种改善3d nand闪存seg生长质量的方法
CN107611010A (zh) * 2017-08-31 2018-01-19 长江存储科技有限责任公司 一种晶圆清洗方法
US10128086B1 (en) * 2017-10-24 2018-11-13 Applied Materials, Inc. Silicon pretreatment for nitride removal
US10424487B2 (en) 2017-10-24 2019-09-24 Applied Materials, Inc. Atomic layer etching processes
US10283324B1 (en) * 2017-10-24 2019-05-07 Applied Materials, Inc. Oxygen treatment for nitride etching
US10256112B1 (en) 2017-12-08 2019-04-09 Applied Materials, Inc. Selective tungsten removal
US10903054B2 (en) 2017-12-19 2021-01-26 Applied Materials, Inc. Multi-zone gas distribution systems and methods
US11328909B2 (en) 2017-12-22 2022-05-10 Applied Materials, Inc. Chamber conditioning and removal processes
US10854426B2 (en) 2018-01-08 2020-12-01 Applied Materials, Inc. Metal recess for semiconductor structures
US10964512B2 (en) 2018-02-15 2021-03-30 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus and methods
US10679870B2 (en) 2018-02-15 2020-06-09 Applied Materials, Inc. Semiconductor processing chamber multistage mixing apparatus
TWI716818B (zh) 2018-02-28 2021-01-21 美商應用材料股份有限公司 形成氣隙的系統及方法
US10593560B2 (en) 2018-03-01 2020-03-17 Applied Materials, Inc. Magnetic induction plasma source for semiconductor processes and equipment
US10319600B1 (en) 2018-03-12 2019-06-11 Applied Materials, Inc. Thermal silicon etch
US10497573B2 (en) 2018-03-13 2019-12-03 Applied Materials, Inc. Selective atomic layer etching of semiconductor materials
US10573527B2 (en) 2018-04-06 2020-02-25 Applied Materials, Inc. Gas-phase selective etching systems and methods
US10490406B2 (en) 2018-04-10 2019-11-26 Appled Materials, Inc. Systems and methods for material breakthrough
US10699879B2 (en) 2018-04-17 2020-06-30 Applied Materials, Inc. Two piece electrode assembly with gap for plasma control
US10886137B2 (en) 2018-04-30 2021-01-05 Applied Materials, Inc. Selective nitride removal
US10872778B2 (en) 2018-07-06 2020-12-22 Applied Materials, Inc. Systems and methods utilizing solid-phase etchants
US10755941B2 (en) 2018-07-06 2020-08-25 Applied Materials, Inc. Self-limiting selective etching systems and methods
US10672642B2 (en) 2018-07-24 2020-06-02 Applied Materials, Inc. Systems and methods for pedestal configuration
US10777567B2 (en) 2018-08-22 2020-09-15 International Business Machines Corporation Epitaxy lateral overgrowth for 3D NAND
US11049755B2 (en) 2018-09-14 2021-06-29 Applied Materials, Inc. Semiconductor substrate supports with embedded RF shield
US10892198B2 (en) 2018-09-14 2021-01-12 Applied Materials, Inc. Systems and methods for improved performance in semiconductor processing
US11062887B2 (en) 2018-09-17 2021-07-13 Applied Materials, Inc. High temperature RF heater pedestals
US11417534B2 (en) 2018-09-21 2022-08-16 Applied Materials, Inc. Selective material removal
US11682560B2 (en) 2018-10-11 2023-06-20 Applied Materials, Inc. Systems and methods for hafnium-containing film removal
US11121002B2 (en) 2018-10-24 2021-09-14 Applied Materials, Inc. Systems and methods for etching metals and metal derivatives
US11437242B2 (en) 2018-11-27 2022-09-06 Applied Materials, Inc. Selective removal of silicon-containing materials
US11721527B2 (en) 2019-01-07 2023-08-08 Applied Materials, Inc. Processing chamber mixing systems
KR20230120647A (ko) * 2019-01-09 2023-08-17 어플라이드 머티어리얼스, 인코포레이티드 반도체 디바이스에 구조를 형성하는 방법
US10920319B2 (en) 2019-01-11 2021-02-16 Applied Materials, Inc. Ceramic showerheads with conductive electrodes
KR20220017774A (ko) 2020-08-05 2022-02-14 삼성전자주식회사 반도체 메모리 소자
US11450693B2 (en) * 2020-09-29 2022-09-20 Micron Technology, Inc. Single crystal horizontal access device for vertical three-dimensional (3D) memory and method of forming 3D memory
EP4207380A1 (en) 2020-12-25 2023-07-05 LG Energy Solution, Ltd. Cathode active material, cathode active material slurry, cathode, lithium ion secondary battery, and method for preparing cathode active material

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6110836A (en) * 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings

Family Cites Families (25)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH08250478A (ja) * 1995-03-15 1996-09-27 Matsushita Electron Corp 半導体装置の製造方法
KR100322545B1 (ko) * 1999-02-10 2002-03-18 윤종용 건식 세정 공정을 전 공정으로 이용하는 반도체 장치의콘택홀 채움 방법
JP3998373B2 (ja) 1999-07-01 2007-10-24 株式会社ルネサステクノロジ 半導体集積回路装置の製造方法
US6756315B1 (en) * 2000-09-29 2004-06-29 Cypress Semiconductor Corporation Method of forming contact openings
US6673721B1 (en) * 2001-07-02 2004-01-06 Lsi Logic Corporation Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask
US8772214B2 (en) 2005-10-14 2014-07-08 Air Products And Chemicals, Inc. Aqueous cleaning composition for removing residues and method using same
US8664124B2 (en) 2005-10-31 2014-03-04 Novellus Systems, Inc. Method for etching organic hardmasks
US8399360B1 (en) * 2005-11-17 2013-03-19 Cypress Semiconductor Corporation Process for post contact-etch clean
JP2008060238A (ja) * 2006-08-30 2008-03-13 Toshiba Corp 半導体装置の製造方法
US7968506B2 (en) 2008-09-03 2011-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Wet cleaning stripping of etch residue after trench and via opening formation in dual damascene process
US8093116B2 (en) * 2008-10-06 2012-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. Method for N/P patterning in a gate last process
KR20100045108A (ko) 2008-10-23 2010-05-03 주식회사 동부하이텍 반도체 소자의 제조 방법
US10256142B2 (en) 2009-08-04 2019-04-09 Novellus Systems, Inc. Tungsten feature fill with nucleation inhibition
US8277674B2 (en) 2009-12-15 2012-10-02 United Microelectronics Corp. Method of removing post-etch residues
US8927390B2 (en) 2011-09-26 2015-01-06 Applied Materials, Inc. Intrench profile
FR2986371B1 (fr) 2012-01-31 2016-11-25 St Microelectronics Sa Procede de formation d'un via contactant plusieurs niveaux de couches semiconductrices
JP2013197417A (ja) 2012-03-21 2013-09-30 Toshiba Corp 不揮発性半導体記憶装置の製造方法
CN104272441A (zh) 2012-03-27 2015-01-07 诺发系统公司 钨特征填充
US8778796B2 (en) 2012-10-10 2014-07-15 Macronix International Co., Ltd. Multilayer line trimming
US9230819B2 (en) * 2013-04-05 2016-01-05 Lam Research Corporation Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing
US8895449B1 (en) * 2013-05-16 2014-11-25 Applied Materials, Inc. Delicate dry clean
US9082826B2 (en) 2013-05-24 2015-07-14 Lam Research Corporation Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features
US20140353805A1 (en) 2013-05-28 2014-12-04 Globalfoundries Inc. Methods of semiconductor contaminant removal using supercritical fluid
US9558928B2 (en) * 2014-08-29 2017-01-31 Lam Research Corporation Contact clean in high-aspect ratio structures
US9343358B1 (en) * 2015-02-23 2016-05-17 Sandisk Technologies Inc. Three-dimensional memory device with stress compensation layer within a word line stack

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6110836A (en) * 1999-04-22 2000-08-29 Applied Materials, Inc. Reactive plasma etch cleaning of high aspect ratio openings

Also Published As

Publication number Publication date
WO2016171853A1 (en) 2016-10-27
JP2018516458A (ja) 2018-06-21
KR20170141752A (ko) 2017-12-26
CN107810546A (zh) 2018-03-16
TW201709267A (zh) 2017-03-01
US20160314961A1 (en) 2016-10-27
JP6890550B2 (ja) 2021-06-18
US9576788B2 (en) 2017-02-21
CN107810546B (zh) 2021-09-10
KR102586618B1 (ko) 2023-10-06

Similar Documents

Publication Publication Date Title
TWI671786B (zh) 清潔高深寬比通孔
JP7343543B2 (ja) 高アスペクト比の構造体のための除去方法
US9837284B2 (en) Oxide etch selectivity enhancement
US9378969B2 (en) Low temperature gas-phase carbon removal
US9406523B2 (en) Highly selective doped oxide removal method
US9502258B2 (en) Anisotropic gap etch
US9412608B2 (en) Dry-etch for selective tungsten removal
TWI662617B (zh) 無鹵素之氣相矽蝕刻
TWI520212B (zh) 選擇性氮化鈦蝕刻
US9165786B1 (en) Integrated oxide and nitride recess for better channel contact in 3D architectures
US20180025900A1 (en) Alkali metal and alkali earth metal reduction
US20160005833A1 (en) Feol low-k spacers
US20160042968A1 (en) Integrated oxide and si etch for 3d cell channel mobility improvements
US20150371865A1 (en) High selectivity gas phase silicon nitride removal
US20140342569A1 (en) Near surface etch selectivity enhancement
WO2014113177A1 (en) Dry-etch for selective tungsten removal
JP7401593B2 (ja) 空隙を形成するためのシステム及び方法
US11328909B2 (en) Chamber conditioning and removal processes
US10886137B2 (en) Selective nitride removal