JP2018516458A - 高アスペクト比ビアの洗浄 - Google Patents
高アスペクト比ビアの洗浄 Download PDFInfo
- Publication number
- JP2018516458A JP2018516458A JP2017555519A JP2017555519A JP2018516458A JP 2018516458 A JP2018516458 A JP 2018516458A JP 2017555519 A JP2017555519 A JP 2017555519A JP 2017555519 A JP2017555519 A JP 2017555519A JP 2018516458 A JP2018516458 A JP 2018516458A
- Authority
- JP
- Japan
- Prior art keywords
- plasma
- region
- aspect ratio
- substrate processing
- hydrogen
- Prior art date
- Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
- Granted
Links
- 238000004140 cleaning Methods 0.000 title description 9
- 239000000758 substrate Substances 0.000 claims abstract description 158
- 238000000034 method Methods 0.000 claims abstract description 127
- 238000012545 processing Methods 0.000 claims abstract description 103
- 239000002243 precursor Substances 0.000 claims abstract description 99
- 239000001257 hydrogen Substances 0.000 claims abstract description 61
- 229910052739 hydrogen Inorganic materials 0.000 claims abstract description 61
- 229910052731 fluorine Inorganic materials 0.000 claims abstract description 46
- 229910021417 amorphous silicon Inorganic materials 0.000 claims abstract description 45
- UFHFLCQGNIYNRP-UHFFFAOYSA-N Hydrogen Chemical compound [H][H] UFHFLCQGNIYNRP-UHFFFAOYSA-N 0.000 claims abstract description 42
- VYPSYNLAJGMNEJ-UHFFFAOYSA-N Silicium dioxide Chemical compound O=[Si]=O VYPSYNLAJGMNEJ-UHFFFAOYSA-N 0.000 claims abstract description 42
- 239000011737 fluorine Substances 0.000 claims abstract description 42
- 229910052814 silicon oxide Inorganic materials 0.000 claims abstract description 42
- 229910021421 monocrystalline silicon Inorganic materials 0.000 claims abstract description 25
- OKTJSMMVPCPJKN-UHFFFAOYSA-N Carbon Chemical compound [C] OKTJSMMVPCPJKN-UHFFFAOYSA-N 0.000 claims abstract description 18
- 229910052799 carbon Inorganic materials 0.000 claims abstract description 18
- 229920002120 photoresistant polymer Polymers 0.000 claims abstract description 12
- YCKRFDGAMUMZLT-UHFFFAOYSA-N Fluorine atom Chemical compound [F] YCKRFDGAMUMZLT-UHFFFAOYSA-N 0.000 claims description 40
- 238000005530 etching Methods 0.000 claims description 35
- 238000001020 plasma etching Methods 0.000 claims description 15
- 239000011261 inert gas Substances 0.000 claims description 14
- 238000004380 ashing Methods 0.000 claims description 8
- 238000004544 sputter deposition Methods 0.000 claims description 6
- 238000000992 sputter etching Methods 0.000 claims 1
- 230000008569 process Effects 0.000 abstract description 58
- IJGRMHOSHXDMSA-UHFFFAOYSA-N Atomic nitrogen Chemical compound N#N IJGRMHOSHXDMSA-UHFFFAOYSA-N 0.000 abstract description 13
- 229910052757 nitrogen Inorganic materials 0.000 abstract description 7
- 230000015572 biosynthetic process Effects 0.000 abstract description 6
- 238000002360 preparation method Methods 0.000 abstract description 4
- PXGOKWXKJXAPGV-UHFFFAOYSA-N Fluorine Chemical compound FF PXGOKWXKJXAPGV-UHFFFAOYSA-N 0.000 abstract 2
- 210000002381 plasma Anatomy 0.000 description 186
- 150000002500 ions Chemical class 0.000 description 39
- 239000007789 gas Substances 0.000 description 36
- 239000000463 material Substances 0.000 description 23
- XUIMIQQOPSSXEZ-UHFFFAOYSA-N Silicon Chemical compound [Si] XUIMIQQOPSSXEZ-UHFFFAOYSA-N 0.000 description 15
- 229910052710 silicon Inorganic materials 0.000 description 15
- 239000010703 silicon Substances 0.000 description 15
- 150000003254 radicals Chemical class 0.000 description 11
- QGZKDVFQNNGYKY-UHFFFAOYSA-N Ammonia Chemical compound N QGZKDVFQNNGYKY-UHFFFAOYSA-N 0.000 description 10
- 239000012530 fluid Substances 0.000 description 10
- 230000009977 dual effect Effects 0.000 description 7
- 229910052581 Si3N4 Inorganic materials 0.000 description 6
- 238000001312 dry etching Methods 0.000 description 6
- HQVNEWCFYHHQES-UHFFFAOYSA-N silicon nitride Chemical compound N12[Si]34N5[Si]62N3[Si]51N64 HQVNEWCFYHHQES-UHFFFAOYSA-N 0.000 description 6
- 229910021529 ammonia Inorganic materials 0.000 description 5
- 230000008901 benefit Effects 0.000 description 5
- 150000002431 hydrogen Chemical class 0.000 description 5
- 238000004519 manufacturing process Methods 0.000 description 5
- 230000007935 neutral effect Effects 0.000 description 5
- XKRFYHLGVUSROY-UHFFFAOYSA-N Argon Chemical compound [Ar] XKRFYHLGVUSROY-UHFFFAOYSA-N 0.000 description 4
- 238000000231 atomic layer deposition Methods 0.000 description 4
- QVGXLLKOCUKJST-UHFFFAOYSA-N atomic oxygen Chemical compound [O] QVGXLLKOCUKJST-UHFFFAOYSA-N 0.000 description 4
- 230000005284 excitation Effects 0.000 description 4
- 229910052734 helium Inorganic materials 0.000 description 4
- 229910052760 oxygen Inorganic materials 0.000 description 4
- 239000001301 oxygen Substances 0.000 description 4
- 229910052786 argon Inorganic materials 0.000 description 3
- 239000012159 carrier gas Substances 0.000 description 3
- 210000004027 cell Anatomy 0.000 description 3
- 238000006243 chemical reaction Methods 0.000 description 3
- 238000010168 coupling process Methods 0.000 description 3
- 238000009826 distribution Methods 0.000 description 3
- 230000005281 excited state Effects 0.000 description 3
- 239000001307 helium Substances 0.000 description 3
- SWQJXJOGLNCZEY-UHFFFAOYSA-N helium atom Chemical compound [He] SWQJXJOGLNCZEY-UHFFFAOYSA-N 0.000 description 3
- 229930195733 hydrocarbon Natural products 0.000 description 3
- 150000002430 hydrocarbons Chemical class 0.000 description 3
- NJPPVKZQTLUDBO-UHFFFAOYSA-N novaluron Chemical compound C1=C(Cl)C(OC(F)(F)C(OC(F)(F)F)F)=CC=C1NC(=O)NC(=O)C1=C(F)C=CC=C1F NJPPVKZQTLUDBO-UHFFFAOYSA-N 0.000 description 3
- 239000004065 semiconductor Substances 0.000 description 3
- 230000001629 suppression Effects 0.000 description 3
- 238000012546 transfer Methods 0.000 description 3
- 239000002351 wastewater Substances 0.000 description 3
- 239000004215 Carbon black (E152) Substances 0.000 description 2
- OAKJQQAXSVQMHS-UHFFFAOYSA-N Hydrazine Chemical compound NN OAKJQQAXSVQMHS-UHFFFAOYSA-N 0.000 description 2
- 239000000654 additive Substances 0.000 description 2
- 238000005229 chemical vapour deposition Methods 0.000 description 2
- 238000000151 deposition Methods 0.000 description 2
- 230000008021 deposition Effects 0.000 description 2
- 239000003989 dielectric material Substances 0.000 description 2
- 230000006870 function Effects 0.000 description 2
- 230000003993 interaction Effects 0.000 description 2
- QKCGXXHCELUCKW-UHFFFAOYSA-N n-[4-[4-(dinaphthalen-2-ylamino)phenyl]phenyl]-n-naphthalen-2-ylnaphthalen-2-amine Chemical compound C1=CC=CC2=CC(N(C=3C=CC(=CC=3)C=3C=CC(=CC=3)N(C=3C=C4C=CC=CC4=CC=3)C=3C=C4C=CC=CC4=CC=3)C3=CC4=CC=CC=C4C=C3)=CC=C21 QKCGXXHCELUCKW-UHFFFAOYSA-N 0.000 description 2
- 230000003647 oxidation Effects 0.000 description 2
- 238000007254 oxidation reaction Methods 0.000 description 2
- 239000012071 phase Substances 0.000 description 2
- 238000005240 physical vapour deposition Methods 0.000 description 2
- 238000009832 plasma treatment Methods 0.000 description 2
- 229910021420 polycrystalline silicon Inorganic materials 0.000 description 2
- 230000007261 regionalization Effects 0.000 description 2
- 239000000126 substance Substances 0.000 description 2
- YZCKVEUIGOORGS-UHFFFAOYSA-N Hydrogen atom Chemical compound [H] YZCKVEUIGOORGS-UHFFFAOYSA-N 0.000 description 1
- CBENFWSGALASAD-UHFFFAOYSA-N Ozone Chemical compound [O-][O+]=O CBENFWSGALASAD-UHFFFAOYSA-N 0.000 description 1
- 229910004298 SiO 2 Inorganic materials 0.000 description 1
- BLRPTPMANUNPDV-UHFFFAOYSA-N Silane Chemical compound [SiH4] BLRPTPMANUNPDV-UHFFFAOYSA-N 0.000 description 1
- 230000009471 action Effects 0.000 description 1
- 230000000996 additive effect Effects 0.000 description 1
- 239000006227 byproduct Substances 0.000 description 1
- 239000000969 carrier Substances 0.000 description 1
- 230000008859 change Effects 0.000 description 1
- 238000003486 chemical etching Methods 0.000 description 1
- 239000003153 chemical reaction reagent Substances 0.000 description 1
- 239000004020 conductor Substances 0.000 description 1
- 238000010276 construction Methods 0.000 description 1
- 238000001816 cooling Methods 0.000 description 1
- 125000004122 cyclic group Chemical group 0.000 description 1
- 230000003247 decreasing effect Effects 0.000 description 1
- 238000005137 deposition process Methods 0.000 description 1
- MROCJMGDEKINLD-UHFFFAOYSA-N dichlorosilane Chemical compound Cl[SiH2]Cl MROCJMGDEKINLD-UHFFFAOYSA-N 0.000 description 1
- PZPGRFITIJYNEJ-UHFFFAOYSA-N disilane Chemical compound [SiH3][SiH3] PZPGRFITIJYNEJ-UHFFFAOYSA-N 0.000 description 1
- 238000000407 epitaxy Methods 0.000 description 1
- 239000003574 free electron Substances 0.000 description 1
- 150000008282 halocarbons Chemical class 0.000 description 1
- 238000010438 heat treatment Methods 0.000 description 1
- 230000006872 improvement Effects 0.000 description 1
- 239000012212 insulator Substances 0.000 description 1
- 239000012528 membrane Substances 0.000 description 1
- 238000012986 modification Methods 0.000 description 1
- 230000004048 modification Effects 0.000 description 1
- 229910052754 neon Inorganic materials 0.000 description 1
- 229910052756 noble gas Inorganic materials 0.000 description 1
- 150000002835 noble gases Chemical class 0.000 description 1
- 229920005591 polysilicon Polymers 0.000 description 1
- 229920002451 polyvinyl alcohol Polymers 0.000 description 1
- 239000011148 porous material Substances 0.000 description 1
- 238000010926 purge Methods 0.000 description 1
- 230000009467 reduction Effects 0.000 description 1
- 238000012552 review Methods 0.000 description 1
- 239000000523 sample Substances 0.000 description 1
- 229910000077 silane Inorganic materials 0.000 description 1
- 239000012808 vapor phase Substances 0.000 description 1
- 238000013022 venting Methods 0.000 description 1
Classifications
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/3065—Plasma etching; Reactive-ion etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02041—Cleaning
- H01L21/02057—Cleaning during device manufacture
- H01L21/0206—Cleaning during device manufacture during, before or after processing of insulating layers
- H01L21/02063—Cleaning during device manufacture during, before or after processing of insulating layers the processing being the formation of vias or contact holes
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/0237—Materials
- H01L21/02373—Group 14 semiconducting materials
- H01L21/02381—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02367—Substrates
- H01L21/02428—Structure
- H01L21/0243—Surface structure
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02521—Materials
- H01L21/02524—Group 14 semiconducting materials
- H01L21/02532—Silicon, silicon germanium, germanium
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02518—Deposited layers
- H01L21/02587—Structure
- H01L21/0259—Microstructure
- H01L21/02598—Microstructure monocrystalline
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/02104—Forming layers
- H01L21/02365—Forming inorganic semiconducting materials on a substrate
- H01L21/02612—Formation types
- H01L21/02617—Deposition types
- H01L21/0262—Reduction or decomposition of gaseous compounds, e.g. CVD
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/027—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
- H01L21/0271—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
- H01L21/0273—Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/302—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to change their surface-physical characteristics or shape, e.g. etching, polishing, cutting
- H01L21/306—Chemical or electrical treatment, e.g. electrolytic etching
- H01L21/308—Chemical or electrical treatment, e.g. electrolytic etching using masks
- H01L21/3081—Chemical or electrical treatment, e.g. electrolytic etching using masks characterised by their composition, e.g. multilayer masks, materials
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B41/00—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates
- H10B41/20—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B41/23—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B41/27—Electrically erasable-and-programmable ROM [EEPROM] devices comprising floating gates characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
-
- H—ELECTRICITY
- H10—SEMICONDUCTOR DEVICES; ELECTRIC SOLID-STATE DEVICES NOT OTHERWISE PROVIDED FOR
- H10B—ELECTRONIC MEMORY DEVICES
- H10B43/00—EEPROM devices comprising charge-trapping gate insulators
- H10B43/20—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels
- H10B43/23—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels
- H10B43/27—EEPROM devices comprising charge-trapping gate insulators characterised by three-dimensional arrangements, e.g. with cells on different height levels with source and drain on different levels, e.g. with sloping channels the channels comprising vertical portions, e.g. U-shaped channels
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3105—After-treatment
- H01L21/311—Etching the insulating layers by chemical or physical means
- H01L21/31105—Etching inorganic layers
- H01L21/31111—Etching inorganic layers by chemical means
- H01L21/31116—Etching inorganic layers by chemical means by dry-etching
-
- H—ELECTRICITY
- H01—ELECTRIC ELEMENTS
- H01L—SEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
- H01L21/00—Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
- H01L21/02—Manufacture or treatment of semiconductor devices or of parts thereof
- H01L21/04—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
- H01L21/18—Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
- H01L21/30—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
- H01L21/31—Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
- H01L21/3205—Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
- H01L21/321—After treatment
- H01L21/3213—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer
- H01L21/32133—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only
- H01L21/32135—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only
- H01L21/32136—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas
- H01L21/32137—Physical or chemical etching of the layers, e.g. to produce a patterned layer from a pre-deposited extensive layer by chemical means only by vapour etching only using plasmas of silicon-containing layers
Landscapes
- Engineering & Computer Science (AREA)
- Physics & Mathematics (AREA)
- Microelectronics & Electronic Packaging (AREA)
- Power Engineering (AREA)
- Condensed Matter Physics & Semiconductors (AREA)
- General Physics & Mathematics (AREA)
- Manufacturing & Machinery (AREA)
- Computer Hardware Design (AREA)
- Chemical & Material Sciences (AREA)
- General Chemical & Material Sciences (AREA)
- Chemical Kinetics & Catalysis (AREA)
- Inorganic Chemistry (AREA)
- Plasma & Fusion (AREA)
- Crystallography & Structural Chemistry (AREA)
- Materials Engineering (AREA)
- Drying Of Semiconductors (AREA)
- Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
- Semiconductor Memories (AREA)
- Non-Volatile Memory (AREA)
Abstract
Description
Claims (15)
- パターン基板をエッチングする方法であって、
前記パターン基板にフォトレジスト層のパターンを形成することと、
前記パターン基板に反応性イオンエッチングを実施して、高アスペクト比ビアを形成することであって、前記反応性イオンエッチングにより、前記高アスペクト比ビアの底部にアモルファスシリコン層が形成される、反応性イオンエッチングを実施することと、
前記パターン基板から前記フォトレジスト層をアッシングすることであって、アッシングにより前記アモルファスシリコン層の上に酸化ケイ素層が形成される、アッシングすることと、
前記高アスペクト比ビアの底部から前記酸化ケイ素層を選択的にエッチングすることと、
前記高アスペクト比ビアの底部から前記アモルファスシリコン層を選択的にエッチングして、単結晶シリコンを露出させることと
含む方法。 - 前記アモルファスシリコン層と前記酸化ケイ素層との間に薄い炭素層が形成される、請求項1に記載の方法。
- 前記酸化ケイ素層を選択的にエッチングする工程と、前記アモルファスシリコン層を選択的にエッチングする工程とが、同じ基板処理領域で行われる、請求項1に記載の方法。
- パターン基板をエッチングする方法であって、
25:1よりも大きい高さ幅アスペクト比を有する高アスペクト比ビアを有する前記パターン基板を基板処理領域に配置することと、
遠隔プラズマ領域で遠隔プラズマを形成している間に、フッ素含有前駆体を前記遠隔プラズマ領域に流入させて、プラズマ放出物を生成することと、
第1のシャワーヘッドを通して前記プラズマ放出物を前記第1のシャワーヘッドと第2のシャワーヘッドとの間のシャワーヘッド間領域に流入させることと、
前記シャワーヘッド間領域において、前記プラズマ放出物を窒素水素含有前駆体と結合させることであって、前記窒素水素含有前駆体はいかなるプラズマも通過せずに前駆体の結合を形成する、結合させることと、
前記第2のシャワーヘッドを通して前記前駆体の結合を前記基板処理領域に流入させることと、
前記基板処理領域に局所プラズマを形成することと、
前記高アスペクト比ビアの底部から酸化ケイ素の露出部分を除去することと
を含む方法。 - 前記高アスペクト比ビアの幅は、120nmを下回る、請求項4に記載の方法。
- 前記プラズマ放出物を結合させる工程の間、前記シャワーヘッド間領域はプラズマフリーである、請求項4に記載の方法。
- 前記プラズマ放出物を結合させる工程の間の前記シャワーヘッド間領域内の電子温度は0.5eVを下回り、前記プラズマ放出物を結合させる工程の間の前記遠隔プラズマ領域内の電子温度、及び前記基板処理領域内の電子温度は各々、0.5eVを上回る、請求項4に記載の方法。
- 前記酸化ケイ素の露出部分を除去することにより、前記高アスペクト比ビアの側壁からも、側壁底部近くの底部除去速度が側壁上部近くの上部除去速度の10%以内になるように、一様な速度で酸化ケイ素が除去される、請求項4に記載の方法。
- 前記遠隔プラズマが容量結合されており、前記局所プラズマが容量結合されている、請求項4に記載の方法。
- 前記高アスペクト比ビアの底部からアモルファスシリコン部分を除去する工程を更に含み、前記アモルファスシリコン部分を除去する工程は、前記酸化ケイ素の露出部分が除去された後に行われ、前記アモルファスシリコン部分を除去する工程により、単結晶シリコンが露出する、請求項4に記載の方法。
- 前記単結晶シリコン上で単結晶シリコンをエピタキシャル成長させる工程を更に含む、請求項10に記載の方法。
- 前記アモルファスシリコン部分を除去する工程は更に、フッ素局所プラズマ出力を有するフッ素局所プラズマを形成する間に、前記基板処理領域に第2のフッ素含有前駆体を流入させることを含む、請求項10に記載の方法。
- 前記アモルファスシリコン部分を除去する工程は、水素遠隔プラズマ出力を有する水素遠隔プラズマを形成する間に、水素含有前駆体を前記遠隔プラズマ領域に流入させて水素プラズマ放出物を形成することを含み、前記アモルファスシリコン部分を除去する工程は更に、前記水素プラズマ放出物を前記第1のシャワーヘッドを通した後に前記シャワーヘッド間領域に流入させ、次に前記第2のシャワーヘッドを通して前記基板処理領域に流入させ、前記基板処理領域内の水素局所プラズマ出力を有する水素局所プラズマ内で前記水素プラズマ放出物を更に励起させることを含む、請求項10に記載の方法。
- 前記水素含有前駆体を流入させる工程の間の前記シャワーヘッド間領域内の電子温度は0.5eVを下回り、前記水素含有前駆体を流入させる工程の間の前記遠隔プラズマ領域内の電子温度と、前記基板処理領域内の電子温度は各々、0.5eVを上回る、請求項13に記載の方法。
- パターン基板をエッチングする方法であって、
25:1よりも大きい高さ幅アスペクト比を有する高アスペクト比ビアを有する前記パターン基板を基板処理領域に配置することと、
遠隔プラズマ領域で遠隔プラズマを形成している間に、フッ素含有前駆体を前記遠隔プラズマ領域に流入させて、プラズマ放出物を生成することと、
前記プラズマ放出物を第1のシャワーヘッドを通して前記第1のシャワーヘッドと第2のシャワーヘッドとの間のシャワーヘッド間領域に流入させることと、
前記プラズマ放出物を、いかなるプラズマも通過していない窒素水素含有前駆体と結合させて、前駆体の結合を形成することと、
前記第2のシャワーヘッドを通して前記前駆体の結合を前記基板処理領域に流入させることと、
前記基板処理領域に局所プラズマを形成することと、
前記高アスペクト比ビアの底部から酸化ケイ素の露出部分を除去することと、
不活性ガスからスパッタリング局所プラズマを形成する間に、前記不活性ガスを前記基板処理領域に流入させることと、
前記パターン基板をスパッタリングすることと、
フッ素局所プラズマ出力を有するフッ素局所プラズマを形成している間に、フッ素含有前駆体を前記基板処理領域に流入させることと、
前記高アスペクト比ビアの底部からアモルファスシリコンを除去して、露出した単結晶シリコンを露出させることと
を含む方法。
Applications Claiming Priority (3)
Application Number | Priority Date | Filing Date | Title |
---|---|---|---|
US14/695,392 US9576788B2 (en) | 2015-04-24 | 2015-04-24 | Cleaning high aspect ratio vias |
US14/695,392 | 2015-04-24 | ||
PCT/US2016/024958 WO2016171853A1 (en) | 2015-04-24 | 2016-03-30 | Cleaning high aspect ratio vias |
Publications (2)
Publication Number | Publication Date |
---|---|
JP2018516458A true JP2018516458A (ja) | 2018-06-21 |
JP6890550B2 JP6890550B2 (ja) | 2021-06-18 |
Family
ID=57144151
Family Applications (1)
Application Number | Title | Priority Date | Filing Date |
---|---|---|---|
JP2017555519A Active JP6890550B2 (ja) | 2015-04-24 | 2016-03-30 | 高アスペクト比ビアの洗浄 |
Country Status (6)
Country | Link |
---|---|
US (1) | US9576788B2 (ja) |
JP (1) | JP6890550B2 (ja) |
KR (1) | KR102586618B1 (ja) |
CN (1) | CN107810546B (ja) |
TW (1) | TWI671786B (ja) |
WO (1) | WO2016171853A1 (ja) |
Cited By (1)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2022139562A1 (ko) | 2020-12-25 | 2022-06-30 | 주식회사 엘지에너지솔루션 | 양극 활물질, 양극 활물질 슬러리, 양극, 리튬 이온 이차전지, 및 양극 활물질의 제조 방법 |
Families Citing this family (93)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US10283321B2 (en) | 2011-01-18 | 2019-05-07 | Applied Materials, Inc. | Semiconductor processing system and methods using capacitively coupled plasma |
US9064815B2 (en) | 2011-03-14 | 2015-06-23 | Applied Materials, Inc. | Methods for etch of metal and metal-oxide films |
US9267739B2 (en) | 2012-07-18 | 2016-02-23 | Applied Materials, Inc. | Pedestal with multi-zone temperature control and multiple purge capabilities |
US9373517B2 (en) | 2012-08-02 | 2016-06-21 | Applied Materials, Inc. | Semiconductor processing with DC assisted RF power for improved control |
US9132436B2 (en) | 2012-09-21 | 2015-09-15 | Applied Materials, Inc. | Chemical control features in wafer process equipment |
US10256079B2 (en) | 2013-02-08 | 2019-04-09 | Applied Materials, Inc. | Semiconductor processing systems having multiple plasma configurations |
US9362130B2 (en) | 2013-03-01 | 2016-06-07 | Applied Materials, Inc. | Enhanced etching processes using remote plasma sources |
US9309598B2 (en) | 2014-05-28 | 2016-04-12 | Applied Materials, Inc. | Oxide and metal removal |
US9355922B2 (en) | 2014-10-14 | 2016-05-31 | Applied Materials, Inc. | Systems and methods for internal surface conditioning in plasma processing equipment |
US9966240B2 (en) | 2014-10-14 | 2018-05-08 | Applied Materials, Inc. | Systems and methods for internal surface conditioning assessment in plasma processing equipment |
US11637002B2 (en) | 2014-11-26 | 2023-04-25 | Applied Materials, Inc. | Methods and systems to enhance process uniformity |
US10573496B2 (en) | 2014-12-09 | 2020-02-25 | Applied Materials, Inc. | Direct outlet toroidal plasma source |
US10224210B2 (en) | 2014-12-09 | 2019-03-05 | Applied Materials, Inc. | Plasma processing system with direct outlet toroidal plasma source |
US11257693B2 (en) | 2015-01-09 | 2022-02-22 | Applied Materials, Inc. | Methods and systems to improve pedestal temperature control |
US9728437B2 (en) | 2015-02-03 | 2017-08-08 | Applied Materials, Inc. | High temperature chuck for plasma processing systems |
US20160225652A1 (en) | 2015-02-03 | 2016-08-04 | Applied Materials, Inc. | Low temperature chuck for plasma processing systems |
US9741593B2 (en) | 2015-08-06 | 2017-08-22 | Applied Materials, Inc. | Thermal management systems and methods for wafer processing systems |
US9691645B2 (en) | 2015-08-06 | 2017-06-27 | Applied Materials, Inc. | Bolted wafer chuck thermal management systems and methods for wafer processing systems |
US9349605B1 (en) | 2015-08-07 | 2016-05-24 | Applied Materials, Inc. | Oxide etch selectivity systems and methods |
US10504700B2 (en) | 2015-08-27 | 2019-12-10 | Applied Materials, Inc. | Plasma etching systems and methods with secondary plasma injection |
US10522371B2 (en) | 2016-05-19 | 2019-12-31 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US10504754B2 (en) | 2016-05-19 | 2019-12-10 | Applied Materials, Inc. | Systems and methods for improved semiconductor etching and component protection |
US9865484B1 (en) | 2016-06-29 | 2018-01-09 | Applied Materials, Inc. | Selective etch using material modification and RF pulsing |
US10629473B2 (en) | 2016-09-09 | 2020-04-21 | Applied Materials, Inc. | Footing removal for nitride spacer |
US10062575B2 (en) * | 2016-09-09 | 2018-08-28 | Applied Materials, Inc. | Poly directional etch by oxidation |
US10062585B2 (en) | 2016-10-04 | 2018-08-28 | Applied Materials, Inc. | Oxygen compatible plasma source |
US10546729B2 (en) | 2016-10-04 | 2020-01-28 | Applied Materials, Inc. | Dual-channel showerhead with improved profile |
US9934942B1 (en) | 2016-10-04 | 2018-04-03 | Applied Materials, Inc. | Chamber with flow-through source |
US10062579B2 (en) | 2016-10-07 | 2018-08-28 | Applied Materials, Inc. | Selective SiN lateral recess |
US9947549B1 (en) | 2016-10-10 | 2018-04-17 | Applied Materials, Inc. | Cobalt-containing material removal |
US10163696B2 (en) | 2016-11-11 | 2018-12-25 | Applied Materials, Inc. | Selective cobalt removal for bottom up gapfill |
US9768034B1 (en) | 2016-11-11 | 2017-09-19 | Applied Materials, Inc. | Removal methods for high aspect ratio structures |
US10026621B2 (en) | 2016-11-14 | 2018-07-17 | Applied Materials, Inc. | SiN spacer profile patterning |
US10242908B2 (en) | 2016-11-14 | 2019-03-26 | Applied Materials, Inc. | Airgap formation with damage-free copper |
US10566206B2 (en) | 2016-12-27 | 2020-02-18 | Applied Materials, Inc. | Systems and methods for anisotropic material breakthrough |
US10431429B2 (en) | 2017-02-03 | 2019-10-01 | Applied Materials, Inc. | Systems and methods for radial and azimuthal control of plasma uniformity |
US10403507B2 (en) | 2017-02-03 | 2019-09-03 | Applied Materials, Inc. | Shaped etch profile with oxidation |
US10043684B1 (en) | 2017-02-06 | 2018-08-07 | Applied Materials, Inc. | Self-limiting atomic thermal etching systems and methods |
US10319739B2 (en) | 2017-02-08 | 2019-06-11 | Applied Materials, Inc. | Accommodating imperfectly aligned memory holes |
US10790140B2 (en) * | 2017-02-14 | 2020-09-29 | Applied Materials, Inc. | High deposition rate and high quality nitride |
US10943834B2 (en) | 2017-03-13 | 2021-03-09 | Applied Materials, Inc. | Replacement contact process |
US10319649B2 (en) * | 2017-04-11 | 2019-06-11 | Applied Materials, Inc. | Optical emission spectroscopy (OES) for remote plasma monitoring |
US11276590B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Multi-zone semiconductor substrate supports |
US11276559B2 (en) | 2017-05-17 | 2022-03-15 | Applied Materials, Inc. | Semiconductor processing chamber for multiple precursor flow |
US10497579B2 (en) | 2017-05-31 | 2019-12-03 | Applied Materials, Inc. | Water-free etching methods |
US10049891B1 (en) | 2017-05-31 | 2018-08-14 | Applied Materials, Inc. | Selective in situ cobalt residue removal |
US10920320B2 (en) | 2017-06-16 | 2021-02-16 | Applied Materials, Inc. | Plasma health determination in semiconductor substrate processing reactors |
US10541246B2 (en) | 2017-06-26 | 2020-01-21 | Applied Materials, Inc. | 3D flash memory cells which discourage cross-cell electrical tunneling |
US10727080B2 (en) | 2017-07-07 | 2020-07-28 | Applied Materials, Inc. | Tantalum-containing material removal |
US10541184B2 (en) | 2017-07-11 | 2020-01-21 | Applied Materials, Inc. | Optical emission spectroscopic techniques for monitoring etching |
US10354889B2 (en) | 2017-07-17 | 2019-07-16 | Applied Materials, Inc. | Non-halogen etching of silicon-containing materials |
US10170336B1 (en) | 2017-08-04 | 2019-01-01 | Applied Materials, Inc. | Methods for anisotropic control of selective silicon removal |
US10043674B1 (en) | 2017-08-04 | 2018-08-07 | Applied Materials, Inc. | Germanium etching systems and methods |
US10297458B2 (en) | 2017-08-07 | 2019-05-21 | Applied Materials, Inc. | Process window widening using coated parts in plasma etch processes |
CN107731841A (zh) * | 2017-08-29 | 2018-02-23 | 长江存储科技有限责任公司 | 一种改善3d nand闪存seg生长质量的方法 |
CN107731843A (zh) * | 2017-08-29 | 2018-02-23 | 长江存储科技有限责任公司 | 一种提高seg生长高度均一性方法 |
CN107611010A (zh) * | 2017-08-31 | 2018-01-19 | 长江存储科技有限责任公司 | 一种晶圆清洗方法 |
US10283324B1 (en) * | 2017-10-24 | 2019-05-07 | Applied Materials, Inc. | Oxygen treatment for nitride etching |
US10424487B2 (en) | 2017-10-24 | 2019-09-24 | Applied Materials, Inc. | Atomic layer etching processes |
US10128086B1 (en) * | 2017-10-24 | 2018-11-13 | Applied Materials, Inc. | Silicon pretreatment for nitride removal |
US10256112B1 (en) | 2017-12-08 | 2019-04-09 | Applied Materials, Inc. | Selective tungsten removal |
US10903054B2 (en) | 2017-12-19 | 2021-01-26 | Applied Materials, Inc. | Multi-zone gas distribution systems and methods |
US11328909B2 (en) | 2017-12-22 | 2022-05-10 | Applied Materials, Inc. | Chamber conditioning and removal processes |
US10854426B2 (en) | 2018-01-08 | 2020-12-01 | Applied Materials, Inc. | Metal recess for semiconductor structures |
US10964512B2 (en) | 2018-02-15 | 2021-03-30 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus and methods |
US10679870B2 (en) | 2018-02-15 | 2020-06-09 | Applied Materials, Inc. | Semiconductor processing chamber multistage mixing apparatus |
TWI716818B (zh) | 2018-02-28 | 2021-01-21 | 美商應用材料股份有限公司 | 形成氣隙的系統及方法 |
US10593560B2 (en) | 2018-03-01 | 2020-03-17 | Applied Materials, Inc. | Magnetic induction plasma source for semiconductor processes and equipment |
US10319600B1 (en) | 2018-03-12 | 2019-06-11 | Applied Materials, Inc. | Thermal silicon etch |
US10497573B2 (en) | 2018-03-13 | 2019-12-03 | Applied Materials, Inc. | Selective atomic layer etching of semiconductor materials |
JP2021519510A (ja) * | 2018-03-28 | 2021-08-10 | アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated | アモルファスシリコンのリモート容量結合プラズマ堆積 |
US12054827B2 (en) | 2018-04-03 | 2024-08-06 | Applied Materials, Inc. | Flowable film curing using H2 plasma |
US10573527B2 (en) | 2018-04-06 | 2020-02-25 | Applied Materials, Inc. | Gas-phase selective etching systems and methods |
US10490406B2 (en) | 2018-04-10 | 2019-11-26 | Appled Materials, Inc. | Systems and methods for material breakthrough |
US10699879B2 (en) | 2018-04-17 | 2020-06-30 | Applied Materials, Inc. | Two piece electrode assembly with gap for plasma control |
US10886137B2 (en) | 2018-04-30 | 2021-01-05 | Applied Materials, Inc. | Selective nitride removal |
US10872778B2 (en) | 2018-07-06 | 2020-12-22 | Applied Materials, Inc. | Systems and methods utilizing solid-phase etchants |
US10755941B2 (en) | 2018-07-06 | 2020-08-25 | Applied Materials, Inc. | Self-limiting selective etching systems and methods |
US10672642B2 (en) | 2018-07-24 | 2020-06-02 | Applied Materials, Inc. | Systems and methods for pedestal configuration |
US10777567B2 (en) | 2018-08-22 | 2020-09-15 | International Business Machines Corporation | Epitaxy lateral overgrowth for 3D NAND |
US11049755B2 (en) | 2018-09-14 | 2021-06-29 | Applied Materials, Inc. | Semiconductor substrate supports with embedded RF shield |
US10892198B2 (en) | 2018-09-14 | 2021-01-12 | Applied Materials, Inc. | Systems and methods for improved performance in semiconductor processing |
US11062887B2 (en) | 2018-09-17 | 2021-07-13 | Applied Materials, Inc. | High temperature RF heater pedestals |
US11417534B2 (en) | 2018-09-21 | 2022-08-16 | Applied Materials, Inc. | Selective material removal |
US11682560B2 (en) | 2018-10-11 | 2023-06-20 | Applied Materials, Inc. | Systems and methods for hafnium-containing film removal |
US11121002B2 (en) | 2018-10-24 | 2021-09-14 | Applied Materials, Inc. | Systems and methods for etching metals and metal derivatives |
US11437242B2 (en) | 2018-11-27 | 2022-09-06 | Applied Materials, Inc. | Selective removal of silicon-containing materials |
US11721527B2 (en) | 2019-01-07 | 2023-08-08 | Applied Materials, Inc. | Processing chamber mixing systems |
JP7439101B2 (ja) * | 2019-01-09 | 2024-02-27 | アプライド マテリアルズ インコーポレイテッド | 膜の有効酸化物厚さを変更するための水素化及び窒化処理 |
US10920319B2 (en) | 2019-01-11 | 2021-02-16 | Applied Materials, Inc. | Ceramic showerheads with conductive electrodes |
KR20220017774A (ko) | 2020-08-05 | 2022-02-14 | 삼성전자주식회사 | 반도체 메모리 소자 |
US11450693B2 (en) * | 2020-09-29 | 2022-09-20 | Micron Technology, Inc. | Single crystal horizontal access device for vertical three-dimensional (3D) memory and method of forming 3D memory |
CN113228279B (zh) * | 2021-03-31 | 2024-04-09 | 长江存储科技有限责任公司 | 用于形成半导体结构的方法 |
Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH08250478A (ja) * | 1995-03-15 | 1996-09-27 | Matsushita Electron Corp | 半導体装置の製造方法 |
JP2000236021A (ja) * | 1999-02-10 | 2000-08-29 | Samsung Electronics Co Ltd | 半導体装置のコンタクトホール埋め込み方法 |
Family Cites Families (24)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
US6110836A (en) * | 1999-04-22 | 2000-08-29 | Applied Materials, Inc. | Reactive plasma etch cleaning of high aspect ratio openings |
JP3998373B2 (ja) | 1999-07-01 | 2007-10-24 | 株式会社ルネサステクノロジ | 半導体集積回路装置の製造方法 |
US6756315B1 (en) * | 2000-09-29 | 2004-06-29 | Cypress Semiconductor Corporation | Method of forming contact openings |
US6673721B1 (en) * | 2001-07-02 | 2004-01-06 | Lsi Logic Corporation | Process for removal of photoresist mask used for making vias in low k carbon-doped silicon oxide dielectric material, and for removal of etch residues from formation of vias and removal of photoresist mask |
US8772214B2 (en) * | 2005-10-14 | 2014-07-08 | Air Products And Chemicals, Inc. | Aqueous cleaning composition for removing residues and method using same |
US8664124B2 (en) | 2005-10-31 | 2014-03-04 | Novellus Systems, Inc. | Method for etching organic hardmasks |
US8399360B1 (en) * | 2005-11-17 | 2013-03-19 | Cypress Semiconductor Corporation | Process for post contact-etch clean |
JP2008060238A (ja) * | 2006-08-30 | 2008-03-13 | Toshiba Corp | 半導体装置の製造方法 |
US7968506B2 (en) | 2008-09-03 | 2011-06-28 | Taiwan Semiconductor Manufacturing Co., Ltd. | Wet cleaning stripping of etch residue after trench and via opening formation in dual damascene process |
US8093116B2 (en) * | 2008-10-06 | 2012-01-10 | Taiwan Semiconductor Manufacturing Company, Ltd. | Method for N/P patterning in a gate last process |
KR20100045108A (ko) | 2008-10-23 | 2010-05-03 | 주식회사 동부하이텍 | 반도체 소자의 제조 방법 |
US10256142B2 (en) | 2009-08-04 | 2019-04-09 | Novellus Systems, Inc. | Tungsten feature fill with nucleation inhibition |
US8277674B2 (en) | 2009-12-15 | 2012-10-02 | United Microelectronics Corp. | Method of removing post-etch residues |
US8927390B2 (en) | 2011-09-26 | 2015-01-06 | Applied Materials, Inc. | Intrench profile |
FR2986371B1 (fr) | 2012-01-31 | 2016-11-25 | St Microelectronics Sa | Procede de formation d'un via contactant plusieurs niveaux de couches semiconductrices |
JP2013197417A (ja) | 2012-03-21 | 2013-09-30 | Toshiba Corp | 不揮発性半導体記憶装置の製造方法 |
TWI602283B (zh) | 2012-03-27 | 2017-10-11 | 諾發系統有限公司 | 鎢特徵部塡充 |
US8778796B2 (en) | 2012-10-10 | 2014-07-15 | Macronix International Co., Ltd. | Multilayer line trimming |
US9230819B2 (en) | 2013-04-05 | 2016-01-05 | Lam Research Corporation | Internal plasma grid applications for semiconductor fabrication in context of ion-ion plasma processing |
US8895449B1 (en) | 2013-05-16 | 2014-11-25 | Applied Materials, Inc. | Delicate dry clean |
US9082826B2 (en) | 2013-05-24 | 2015-07-14 | Lam Research Corporation | Methods and apparatuses for void-free tungsten fill in three-dimensional semiconductor features |
US20140353805A1 (en) | 2013-05-28 | 2014-12-04 | Globalfoundries Inc. | Methods of semiconductor contaminant removal using supercritical fluid |
US9558928B2 (en) * | 2014-08-29 | 2017-01-31 | Lam Research Corporation | Contact clean in high-aspect ratio structures |
US9343358B1 (en) * | 2015-02-23 | 2016-05-17 | Sandisk Technologies Inc. | Three-dimensional memory device with stress compensation layer within a word line stack |
-
2015
- 2015-04-24 US US14/695,392 patent/US9576788B2/en active Active
-
2016
- 2016-03-30 WO PCT/US2016/024958 patent/WO2016171853A1/en active Application Filing
- 2016-03-30 CN CN201680023572.9A patent/CN107810546B/zh active Active
- 2016-03-30 KR KR1020177033998A patent/KR102586618B1/ko active IP Right Grant
- 2016-03-30 JP JP2017555519A patent/JP6890550B2/ja active Active
- 2016-04-01 TW TW105110593A patent/TWI671786B/zh active
Patent Citations (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
JPH08250478A (ja) * | 1995-03-15 | 1996-09-27 | Matsushita Electron Corp | 半導体装置の製造方法 |
JP2000236021A (ja) * | 1999-02-10 | 2000-08-29 | Samsung Electronics Co Ltd | 半導体装置のコンタクトホール埋め込み方法 |
Cited By (2)
Publication number | Priority date | Publication date | Assignee | Title |
---|---|---|---|---|
WO2022139562A1 (ko) | 2020-12-25 | 2022-06-30 | 주식회사 엘지에너지솔루션 | 양극 활물질, 양극 활물질 슬러리, 양극, 리튬 이온 이차전지, 및 양극 활물질의 제조 방법 |
KR20230148814A (ko) | 2020-12-25 | 2023-10-25 | 주식회사 엘지에너지솔루션 | 양극 활물질, 양극 활물질 슬러리, 양극, 리튬 이온이차전지, 및 양극 활물질의 제조 방법 |
Also Published As
Publication number | Publication date |
---|---|
TW201709267A (zh) | 2017-03-01 |
CN107810546B (zh) | 2021-09-10 |
TWI671786B (zh) | 2019-09-11 |
US9576788B2 (en) | 2017-02-21 |
KR102586618B1 (ko) | 2023-10-06 |
WO2016171853A1 (en) | 2016-10-27 |
US20160314961A1 (en) | 2016-10-27 |
JP6890550B2 (ja) | 2021-06-18 |
CN107810546A (zh) | 2018-03-16 |
KR20170141752A (ko) | 2017-12-26 |
Similar Documents
Publication | Publication Date | Title |
---|---|---|
JP6890550B2 (ja) | 高アスペクト比ビアの洗浄 | |
US9837284B2 (en) | Oxide etch selectivity enhancement | |
US9773695B2 (en) | Integrated bit-line airgap formation and gate stack post clean | |
US9412608B2 (en) | Dry-etch for selective tungsten removal | |
US9165786B1 (en) | Integrated oxide and nitride recess for better channel contact in 3D architectures | |
US9373522B1 (en) | Titanium nitride removal | |
US9520303B2 (en) | Aluminum selective etch | |
US9406523B2 (en) | Highly selective doped oxide removal method | |
US9378969B2 (en) | Low temperature gas-phase carbon removal | |
US9502258B2 (en) | Anisotropic gap etch | |
US9478434B2 (en) | Chlorine-based hardmask removal | |
US9449846B2 (en) | Vertical gate separation | |
US9378978B2 (en) | Integrated oxide recess and floating gate fin trimming | |
US9553102B2 (en) | Tungsten separation | |
US20160042968A1 (en) | Integrated oxide and si etch for 3d cell channel mobility improvements | |
US20150345029A1 (en) | Metal removal | |
US20160005833A1 (en) | Feol low-k spacers | |
WO2014113177A1 (en) | Dry-etch for selective tungsten removal | |
WO2016111811A1 (en) | Self-aligned process | |
WO2014137658A1 (en) | Selective titanium nitride removal | |
TWI857541B (zh) | 改良的鍺蝕刻系統及方法 |
Legal Events
Date | Code | Title | Description |
---|---|---|---|
A621 | Written request for application examination |
Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20190327 |
|
A977 | Report on retrieval |
Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20200430 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20200526 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20200826 |
|
A131 | Notification of reasons for refusal |
Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20201013 |
|
A601 | Written request for extension of time |
Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20210112 |
|
A521 | Request for written amendment filed |
Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20210129 |
|
TRDD | Decision of grant or rejection written | ||
A01 | Written decision to grant a patent or to grant a registration (utility model) |
Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20210427 |
|
A61 | First payment of annual fees (during grant procedure) |
Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20210525 |
|
R150 | Certificate of patent or registration of utility model |
Ref document number: 6890550 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 |
|
R250 | Receipt of annual fees |
Free format text: JAPANESE INTERMEDIATE CODE: R250 |