TWI663276B - 形成含碳層的方法 - Google Patents

形成含碳層的方法 Download PDF

Info

Publication number
TWI663276B
TWI663276B TW104126602A TW104126602A TWI663276B TW I663276 B TWI663276 B TW I663276B TW 104126602 A TW104126602 A TW 104126602A TW 104126602 A TW104126602 A TW 104126602A TW I663276 B TWI663276 B TW I663276B
Authority
TW
Taiwan
Prior art keywords
substrate
sputtering
plasma
target
processing chamber
Prior art date
Application number
TW104126602A
Other languages
English (en)
Other versions
TW201614089A (en
Inventor
史托威爾麥克W
陳咏梅
Original Assignee
美商應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商應用材料股份有限公司 filed Critical 美商應用材料股份有限公司
Publication of TW201614089A publication Critical patent/TW201614089A/zh
Application granted granted Critical
Publication of TWI663276B publication Critical patent/TWI663276B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/34Sputtering
    • C23C14/35Sputtering by application of a magnetic field, e.g. magnetron sputtering
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/06Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the coating material
    • C23C14/0605Carbon
    • C23C14/0611Diamond
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/26Deposition of carbon only
    • C23C16/27Diamond only
    • C23C16/272Diamond only using DC, AC or RF discharges
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02115Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material being carbon, e.g. alpha-C, diamond or hydrogen doped carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02266Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by physical ablation of a target, e.g. sputtering, reactive sputtering, physical vapour deposition or pulsed laser deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02527Carbon, e.g. diamond-like carbon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02587Structure
    • H01L21/0259Microstructure
    • H01L21/02595Microstructure polycrystalline
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/0262Reduction or decomposition of gaseous compounds, e.g. CVD
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02612Formation types
    • H01L21/02617Deposition types
    • H01L21/02631Physical deposition at reduced pressure, e.g. MBE, sputtering, evaporation

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • General Chemical & Material Sciences (AREA)
  • Optics & Photonics (AREA)
  • Plasma & Fusion (AREA)
  • Inorganic Chemistry (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Physical Vapour Deposition (AREA)
  • Plasma Technology (AREA)
  • Chemical Vapour Deposition (AREA)
  • Formation Of Insulating Films (AREA)
  • Manufacturing Of Magnetic Record Carriers (AREA)

Abstract

本文揭示用於沉積奈米結晶碳層的方法。該方法可以包括以下步驟:遞送濺鍍氣體到基板,該基板被定位在第一處理腔室的處理區域中,該第一處理腔室具有含碳濺鍍靶材;遞送能量脈衝到該濺鍍氣體,以產生濺鍍電漿,該濺鍍電漿具有濺鍍持續時間,該能量脈衝具有介於1W/cm2和10W/cm2之間的平均功率及小於100μs並大於30μs的脈衝寬度,該濺鍍電漿被磁場控制,該磁場小於300高斯;以及遞送該濺鍍電漿到該濺鍍靶材以形成離子化物種,該離子化物種在該基板上形成結晶含碳層。

Description

形成含碳層的方法
本文揭示的實施例大體而言係關於用於沉積薄膜的方法。更具體言之,實施例係關於沉積用於半導體元件的奈米結晶鑽石膜的設備和方法。
由於半導體產業引入了具有更高性能和更大功能性的新世代積體電路(IC's),形成那些積體電路的元件之密度提高了,而個別的部件或元件之間的尺寸、大小及間距減小了。雖然在過去這種減小僅被使用光微影術界定結構的能力限制,但尺寸以微米(μm)或奈米(nm)量測的元件幾何形狀已產生了新的限制因素,例如導電互連件的導電率、互連件之間使用的絕緣材料之介電常數、在3D NAND或DRAM形成製程中蝕刻小的結構或其他的挑戰。這些限制可以藉由更耐用、更高熱導率及更高硬度的硬光罩來獲益。
厚的碳硬光罩是眾所周知的,而且常被用作POR薄膜。然而,預期目前的石墨、Sp2型或其他碳硬光罩組成物是不足的,因為DRAM和NAND持續將自身的尺度縮小到小於~10nm的體系。這種尺度的縮小將需要甚至更高深寬比的深接觸孔或溝槽蝕刻。高深寬比的蝕 刻問題包括堵塞、孔形扭曲、及圖案變形,通常在這些應用中觀察到頂部臨界尺寸放大、接線彎曲、輪廓彎曲。許多的蝕刻挑戰是取決於硬光罩材料的性質。深接觸孔變形會與較小的硬光罩密度和差的導熱性有關。狹縫圖案變形或接線彎曲是由於硬光罩材料的較低選擇率和應力。因此,理想的是具有一種密度更高、蝕刻選擇率更高、應力較低、及熱傳導性優異的蝕刻硬光罩。
鑽石和類鑽石材料被稱為高硬度材料。由於它們的高硬度、表面惰性、及低摩擦係數,合成的鑽石材料已被應用作為保護塗層,並被應用於微機電系統(MEMS)以及其他的用途。已經藉由熱燈絲CVD和微波CVD合成了鑽石薄膜,例如奈米結晶鑽石(NCD)。然而,使用熱燈絲CVD和微波CVD製程來形成奈米結晶鑽石膜有各種的困難。
在熱燈絲CVD中,使用金屬燈絲來活化前驅物氣體以進行沉積。如所預期的,在薄膜形成製程期間使金屬燈絲暴露於前驅物氣體。結果,前驅物氣體會與金屬燈絲反應,導致最終產物中有金屬污染的問題。與熱燈絲CVD相比,微波CVD具有較少的污染問題。然而,微波CVD要求高的處理壓力,此舉會影響薄膜的均勻性。此外,雖然藉由微波CVD硬體所形成的微波生成電漿具有相對較低的離子能量,但這些生成的離子仍會攻擊NCD晶界並導致晶粒結構紊亂。
因此,需要有用於形成高品質鑽石薄膜的改良設備和方法。
本文揭示的實施例大體而言係關於應用於半導體元件的奈米結晶鑽石層。藉由控制位於濺鍍靶材附近的磁控管所提供的磁場強度、施加於濺鍍靶材的脈衝能量之量及/或脈衝寬度,可以在低溫下在基板上形成具有理想性質的奈米結晶鑽石膜。這些奈米結晶鑽石膜可被用於各種操作,例如在微影術和蝕刻製程期間充當硬光罩的奈米結晶鑽石層。在一個實施例中,一種形成含碳層的方法可以包括以下步驟:遞送濺鍍氣體到基板,該基板被定位在第一處理腔室之處理區域中,該第一處理腔室具有含碳濺鍍靶材;遞送能量脈衝到該濺鍍氣體,以產生濺鍍電漿,該濺鍍電漿係藉由能量脈衝形成,該能量脈衝具有介於約1W/cm2和約10W/cm2之間的平均功率及小於100μs並大於30μs的脈衝寬度。該濺鍍電漿被磁場控制,該磁場小於300高斯;以及形成該濺鍍電漿以在該基板上形成結晶含碳層。
在另一個實施例中,一種形成含碳層的方法可以包括以下步驟:遞送濺鍍氣體到靶材和基板之間形成的區域,該基板被定位在第一處理腔室之處理區域中,該第一處理腔室具有石墨靶材;遞送能量脈衝到該濺鍍氣體,以產生濺鍍電漿,該濺鍍電漿係藉由能量脈衝形成,該能量脈衝具有介於約1W/cm2和約10W/cm2之間的平均 功率及小於100μs的脈衝寬度。該濺鍍電漿被磁場控制,平均磁場強度係小於300高斯;以及形成該濺鍍電漿,使得中間碳化物層被形成在該基板上。然後將具有該中間碳化物層的基板移送到第二處理腔室;遞送沉積氣體到該第二處理腔室;活化該沉積氣體以形成活化沉積氣體,該沉積氣體包含CH4、H2、Ar、CO2、或上述之組合;遞送該活化沉積氣體到基板;及在該基板之表面上生長奈米結晶鑽石層。
在另一個實施例中,一種形成含碳層的方法可以包括以下步驟:遞送惰性氣體到基板,該基板被定位在PVD處理腔室之處理區域中,該PVD處理腔室具有石墨濺鍍靶材;將該基板保持在低於攝氏100度的溫度下;遞送能量脈衝到該濺鍍氣體,以產生濺鍍電漿,該濺鍍電漿係藉由能量脈衝形成,該能量脈衝具有介於約1W/cm2和約10W/cm2之間的平均功率、及小於100μs的脈衝寬度。該濺鍍電漿被磁場控制,該磁場小於或等於200高斯;以及遞送該濺鍍電漿到該濺鍍靶材,以在該基板上形成奈米結晶鑽石層。
100‧‧‧處理腔室
102‧‧‧全面侵蝕磁控陰極
104‧‧‧蓋組件
106‧‧‧接地框架
108‧‧‧腔室主體
110‧‧‧側壁
112‧‧‧接地屏蔽
116‧‧‧中心部分
118‧‧‧處理空間
120‧‧‧靶材
121‧‧‧全面侵蝕磁控陰極
122‧‧‧遮蔽框架
124‧‧‧周邊部分
126‧‧‧屏蔽組件
128‧‧‧氣源
130‧‧‧出入口
132‧‧‧電源
136‧‧‧腔室屏蔽
138‧‧‧基板支座
140‧‧‧軸
142‧‧‧波紋管
144‧‧‧升降機構
146‧‧‧底部
148‧‧‧控制器
150‧‧‧泵送口
152‧‧‧泵送裝置
154‧‧‧上處理區域
156‧‧‧唇部
158‧‧‧記憶體
160‧‧‧中央處理單元(CPU)
162‧‧‧支援電路
180‧‧‧電極
181‧‧‧直流電源
185‧‧‧源
186‧‧‧偏壓電極
190‧‧‧基板
200‧‧‧處理腔室
203‧‧‧基板
204‧‧‧氣源
205‧‧‧遠端電漿源
206‧‧‧壁
208‧‧‧底部
210‧‧‧蓋體
212‧‧‧處理空間
214‧‧‧泵送環
216‧‧‧排氣口
218‧‧‧噴頭
220‧‧‧內側
230A‧‧‧偏壓電源
230B‧‧‧偏壓電源
232‧‧‧電極
238‧‧‧基板支撐組件
242‧‧‧桿
244‧‧‧升舉系統
246‧‧‧波紋管
254‧‧‧運算裝置
256‧‧‧電腦處理器
258‧‧‧記憶體
260‧‧‧支援電路
262‧‧‧電漿電源
300‧‧‧群集工具
306A‧‧‧負載鎖定腔室
306B‧‧‧負載鎖定腔室
310‧‧‧第一機械人
312‧‧‧基板處理腔室
314‧‧‧基板處理腔室
316‧‧‧基板處理腔室
318‧‧‧基板處理腔室
322‧‧‧中間移送室
324‧‧‧中間移送室
330‧‧‧第二機器人
332‧‧‧處理腔室
334‧‧‧處理腔室
336‧‧‧處理腔室
338‧‧‧處理腔室
400‧‧‧方法
450‧‧‧曲線圖
452‧‧‧功率脈衝
454‧‧‧持續時間
456‧‧‧脈衝循環時間
458‧‧‧中間時段
500‧‧‧方法
502-512‧‧‧步驟
為了能詳細瞭解上述本發明之特徵,可以參照實施例(其中一些實施例被圖示於附圖中)而對以上簡要概述的發明作更特定的描述。然而,應注意的是,附圖僅圖示本發明之典型實施例,因此不應將該等附圖視為限制本發明之範圍,因本發明可認可其他等同有效的實施例。
第1圖為本文中描述的實施例可以使用的PVD處理腔室之示意性剖視圖。
第2圖為本文中描述的實施例可以使用的CVD處理腔室之示意性剖視圖。
第3圖圖示適用於在基板上形成奈米結晶鑽石層的例示性群集工具。
第4A圖圖示依據實施例被提供到處理腔室以在腔室中產生高功率脈衝磁控濺鍍(HIPIMS)處理的能量遞送處理程序。
第4B圖為依據實施例用於沉積奈米結晶鑽石層的方法之流程圖。
第5圖為依據實施例用於沉積中間碳化物層的方法之流程圖。
第6圖為圖示三種不同磁場強度的浮動電勢為與靶材的距離之函數的曲線圖。
第7A圖和第7B圖為描繪脈衝電壓對浮動電壓和密度之影響的曲線圖。
為了便於理解,已在可能處使用相同的元件符號來指稱圖式常見的相同元件。構思的是,可以將一個實施例中揭示的元件有益地用於其他實施例而無需進一步詳述。
本文揭示的實施例大體而言係關於被形成在基板上的奈米結晶鑽石層。藉由本文描述的製程形成的奈 米結晶鑽石層通常具有形成具有小特徵尺寸的積體電路(IC)元件所需的較高質量密度、較高蝕刻選擇率、較低應力、及優異導熱性。參照以下的圖式更清楚地描述實施例。
第1圖圖示適用於使用高功率脈衝磁控濺鍍(HIPIMS)製程濺鍍沉積材料的例示性物理氣相沉積(PVD)處理腔室100(例如濺鍍製程腔室)。可適於形成奈米結晶鑽石層的處理腔室之一個實例為PVD處理腔室,可購自位於美國加州聖克拉拉的應用材料公司。構思的是,其他的濺鍍處理腔室,包括來自其他製造商的那些處理腔室,也可適於實施本發明。
處理腔室100包括其中界定有處理空間118的腔室主體108。腔室主體108具有側壁110和底部146。腔室主體108及處理腔室100之相關部件的尺寸不受限制,而且通常是依比例大於待處理基板190的尺寸。可以處理任何適當的基板大小。適當基板大小的實例包括具有200mm直徑、300mm直徑、450mm直徑或更大直徑的基板。
腔室蓋組件104被安裝在腔室主體108的頂部上。腔室主體108可以由鋁或其他適當材料製成。將基板出入口130穿過腔室主體108的側壁110形成,從而便於移送基板190進出處理腔室100。出入口130可被耦接至基板處理系統的移送室及/或其他腔室。
將氣源128耦接到腔室主體108,以供應處理氣體進入處理空間118。在一個實施例中,處理氣體可以包括惰性氣體、不反應的氣體、及反應氣體(若需要的話)。可以由氣源128提供的處理氣體之實例包括、但不限於氬氣(Ar)、氦(He)、氖氣(Ne)、氪(Kr)、氙(Xe)、氮氣(N2)、氧氣(O2)、氫氣(H2)、合成氣體(N2+H2)、氨(NH3)、甲烷(CH4)、一氧化碳(CO)、及/或二氧化碳(CO2)等等。
泵送口150被形成穿過腔室主體108的底部146。將泵送裝置152耦接到處理空間118,以排空並控制其中的壓力。泵送系統和腔室冷卻的設計在適合熱預算需求的溫度(例如攝氏-25度至攝氏+650度)下致能高基礎真空(1E-8托或更低)和低升壓速率(1,000毫托/分)。泵送系統被設計來提供精準控制的處理壓力,處理壓力為晶體結構(例如Sp3含量)、應力控制及調整的關鍵參數。可以將處理壓力保持在約1毫托和約500毫托之間的範圍中,例如介於約2毫托和約20毫托之間。
蓋組件104通常包括靶材120及與靶材120耦接的接地屏蔽組件126。靶材120提供可以在PVD製程期間被濺鍍和沉積到基板190的表面上的材料源。靶材120在DC濺鍍期間充當電漿電路的陰極。
靶材120或靶板材可以由用於沉積層的材料或在腔室中形成的沉積層之元素製成。將高電壓電源(例如電源132)連接到靶材120,以促進從靶材120濺鍍材 料。在一個實施例中,靶材120可以由含碳材料製成,例如包括石墨、非晶碳、上述之組合、或類似物的材料。靶材也可以是石墨及/或含有Sp2型碳的材料結構。沉積製程可以從使用含Sp2材料的沉積靶材來沉積Sp3層中受益,因為Sp2碳材料在結構上比其他較少結構化碳的靶材更接近Sp3。在一個實施例中,靶材是石墨靶材。電源132或電力供應可以以脈衝化(相對於恆定)方式將功率供應到靶材。也就是說,電源可以藉由提供數個脈衝到靶材來將功率提供到靶材。
靶材120通常包括周邊部分124和中心部分116。周邊部分124被配置在腔室的側壁110上方。靶材120的中心部分116可以具有稍微朝向基板190的表面延伸的彎曲表面,基板190位在基板支座138上。在一些實施例中,將靶材120與基板支座138之間的間距保持在約50mm和約250mm之間。應注意的是,靶材120的尺寸、形狀、材料、結構及直徑可以為特定製程或基板要求而改變。在一個實施例中,靶材120可以進一步包括具有中心部分的背板,該背板由需要被濺鍍到基板表面上的材料黏合及/或製造。
蓋組件104可以進一步包含被安裝在靶材120上方的全面侵蝕磁控陰極102,全面侵蝕磁控陰極102在處理過程中增強來自靶材120的有效濺鍍材料。全面侵蝕磁控陰極121允許輕易快速的製程控制及訂製的薄膜性質,同時確保一致的靶材侵蝕及在整個晶圓上的均 勻沉積。磁控組件的實例包括線性磁控管、蛇形磁控管、螺旋磁控管、雙掌形磁控管、矩形化螺旋磁控管、及其他形狀,以在靶材面上形成所需的侵蝕圖案,並能夠在製程的脈衝化或DC電漿階段期間形成理想的鞘。在一些配置中,磁控管可以包括被定位在靶材表面上方的理想圖案中的永久磁鐵,例如上述其中一個圖案(例如線性、蛇形、螺旋、雙掌形等)。在其他配置中,可以替代地、或甚至外加於永久磁體使用具有理想圖案的可變磁場型磁控管來在HIPMS製程的一個或更多個部分期間全程調整電漿的形狀及/或密度。
蓋組件104的接地屏蔽組件126包括接地框架106和接地屏蔽112。接地屏蔽組件126還可以包括其他腔室屏蔽構件、靶材屏蔽構件、暗空間屏蔽、及暗空間屏蔽框架。接地屏蔽112藉由接地框架106耦接到周邊部分124,從而在處理空間118中界定出在靶材120的中心部分下方的上處理區域154。接地框架106將接地屏蔽112與靶材120電絕緣,同時提供經由側壁110到處理腔室100之腔室主體108的接地路徑。接地屏蔽112將處理過程中產生的電漿侷限在上處理區域154內,並從界定的靶材120中心部分116逐出靶源材料,從而允許被逐出的靶源材料主要被沉積在基板表面上而不是腔室側壁110上。
延伸通過腔室主體108之底部146的軸140耦接到升降機構144。升降機構144設以在下移送位置和 上處理位置之間移動基板支座138。波紋管142環繞軸140並耦接到基板支座138,以在其間提供彈性的密封,從而保持腔室處理空間118的真空完整性。
基板支座138可以是靜電夾盤,並具有電極180。當使用靜電夾盤(ESC)的實施例時,基板支座138利用相反電荷的吸引力來固持絕緣和導電型基板190兩者,並藉由直流電源181供電。基板支座138可以包括嵌入介電質主體內的電極。直流電源181可以提供約200至約2000伏的DC夾持電壓到電極。直流電源181還可以包括藉由引導直流電流到電極180用於夾持和去夾持基板190而控制該電極之操作的系統控制器。
可以將PVD製程的溫度保持在低於沉積薄膜的性質可能變成不理想的溫度。例如,溫度可以低於約攝氏250度,並具有約攝氏50度的邊限,以有助於沉積奈米結晶鑽石層或中間碳化物層。基板支座138在元件整合要求的熱預算所需的溫度範圍中執行。例如,基板支座138可以是用於攝氏零下25度至攝氏100度的溫度範圍的可拆卸ESC(DTESC)、用於攝氏100度至攝氏200度的溫度範圍的中溫ESC(MTESC)、用於攝氏200度至攝氏500度的溫度範圍且確保晶圓快速且均勻升溫的高溫或高溫可偏壓或高溫高均勻性ESC(HTESC或HTBESC或HTHUESC)。
在將處理氣體引入處理腔室100之後,氣體被激發而形成電漿,使得HIPIMS型PVD製程可以進行。以下進一步描述HIPIMS型PVD製程的實例。
遮蔽框架122被配置在基板支座138的周邊區域,並設以將從靶材120濺鍍的源材料沉積侷限於所需的基板表面部分。腔室屏蔽136可以被配置在腔室主體108的內壁上,並具有向內延伸到處理空間118、設以支撐被配置在基板支座138周圍的遮蔽框架122的唇部156。當基板支座138被升到進行處理的上方位置時,被配置在基板支座138上的基板190之外緣被遮蔽框架122接合,而且遮蔽框架122被升起並與腔室屏蔽136分開。當基板支座138被降到鄰近基板移送出入口130的移送位置時,遮蔽框架122被設定回到腔室屏蔽136上。將升舉銷(未圖示)選擇性移動穿過基板支座138,而將基板190舉到基板支座138上方,以便移送機器人或其他適當的移送機構存取基板190。
將控制器148耦接到處理腔室100。控制器148包括中央處理單元(CPU)160、記憶體158、及支援電路162。使用控制器148來控制製程程序、調節從氣源128進入處理腔室100的氣流、及控制靶材120的離子轟擊。CPU 160可以是任何形式的、可在工業環境中使用的通用電腦處理器。可以將軟體常式儲存在記憶體158中,記憶體158例如隨機存取記憶體、唯讀記憶體、軟碟、或硬碟驅動裝置、或其他形式的數位儲存器。支援電路 162被以傳統方式耦接到CPU 160,並且可以包含快取記憶體、時鐘電路、輸入/輸出子系統、電源、及類似物。當被CPU 160執行時,軟體常式將CPU轉換成特定用途的電腦(控制器)148,控制器148控制處理腔室100,使得製程被依據本發明執行。軟體常式也可以由位於處理腔室100遠端的第二控制器(未圖示)儲存及/或執行。
在處理過程中,材料被從靶材120濺射出並沉積在基板190的表面上。在一些配置中,藉由電源132將靶材120相對於地面或基板支座加偏壓,以從氣源128供應的處理氣體產生電漿並維持形成的電漿。電漿中生成的離子被朝向靶材120加速並撞擊靶材120,導致靶材料被從靶材120逐出。被逐出的靶材料在基板190上形成具有所需晶體結構及/或成分的層。射頻、直流、或快速切換脈衝化直流電源、或上述之組合提供可調的靶材偏壓,用於為奈米結晶鑽石材料精準地控制濺鍍成分和沉積速率。
電源132為HIPIMS電源。本文中使用的典型HIPIMS電源132設以在約10μs和約200μs之間的短持續時間期間遞送具有例如約1兆伏(MV)和約8MV之間的高電壓的功率脈衝。以下結合第4A-4B圖和第7A-7B圖進一步描述HIPIMS製程的討論。
在一些實施例中,在奈米結晶鑽石層沉積製程的不同階段期間個別施加偏壓到基板也是理想的。因此,可以從源185(例如DC及/或RF源)提供偏壓到基板支座138中的偏壓電極186(或夾持電極180),使得在沉 積製程的一個或更多個階段期間基板190將被電漿中形成的離子轟擊。在一些製程實例中,在進行了奈米結晶鑽石膜沉積製程之後將偏壓施加於基板。或者,在一些製程實例中,在奈米結晶鑽石膜沉積製程期間施加偏壓。較大的負基板偏壓將傾向於驅動電漿中產生的正離子朝向基板或反之亦然,使得當離子撞擊基板表面時具有較大量的能量。
第2圖為依據本文描述的實施例可被用於沉積碳基層的處理腔室200之示意性剖視圖。可適於執行本文所述的碳層沉積方法的處理腔室為可購自位於美國加州聖克拉拉的應用材料公司(Applied Materials,Inc.located in Santa Clara,California)的PRODUCER®化學氣相沉積室。應理解的是,以下描述的腔室為例示性的實施例,而且可以使用其他的腔室,包括來自其他製造商的腔室,或在不偏離本文描述的發明特徵之下修改腔室來符合本發明的實施例。
處理腔室200可以是處理系統的一部分,該處理系統包括多個處理腔室,該等處理腔室被連接到中央移送室並由機器人服務。在一個實施例中,該處理系統為第3圖描繪的群集工具300。處理腔室200包括界定處理空間212的壁206、底部208、及蓋體210。壁206和底部208可以由整塊的鋁製成。處理腔室200還可以包括將處理空間212流體耦接到排氣口216的泵送環214以及其他的泵送元件(未圖示)。
基板支撐組件238(可以被加熱)可以被置中配置在處理腔室200內。在沉積製程期間,基板支撐組件238支撐基板203。基板支撐組件238通常由鋁、陶瓷、或鋁和陶瓷之組合製成,並包括至少一個偏壓電極232。偏壓電極232可以是靜電夾盤電極、RF基板偏壓電極、或上述之組合。
可以在基板203和基板支撐組件238之間使用真空口來施加真空,以在沉積製程期間將基板203緊固於基板支撐組件238。偏壓電極232可以是例如被配置在基板支撐組件238中並耦接到偏壓電源230A和230B的電極232,以在處理時對基材支撐組件238和位於基材支撐組件238上的基板203施加預定偏壓功率水平的偏壓。
偏壓電源230A和230B可以被獨立設置,以遞送各種頻率的功率到基板203和基板支撐組件238,例如介於約2和約60MHz之間的頻率。在不背離本文所述的發明之下可以採用此處描述的各種頻率變換。
一般來說,基板支撐組件238被耦接到桿242。桿242在基板支撐組件238和處理腔室200的其他元件之間提供用於電引線、真空及氣體供應線路的管道。此外,桿242將基板支撐組件238耦接到升舉系統244,升舉系統244在升高位置(如第2圖所示)和降低位置(未圖示)之間移動基板支撐組件238,以便利機器人的移送。波紋管246在處理空間212和腔室200外部的大氣之間提供真空密封,同時便利基板支撐組件238移動。
通常可以將噴頭218耦接到蓋體210的內側220。進入處理腔室200的氣體(即處理氣體及/或其他氣體)穿過噴頭218並進入處理腔室200中。噴頭218可設以提供均勻的氣流到處理腔室200中。均勻的氣流是理想的,以促進均勻的層形成在基板203上。可以將遠端電漿源205與處理空間212和氣源204耦接。這裡圖示的是,使用遠端活化源(例如遠端電漿產生器)來產生活性物種的電漿,然後將該電漿遞送到處理空間212中。例示性的遠端電漿產生器可購自諸如MKS儀器公司和先進能源工業公司(Advanced Energy Industries,Inc.)等供應商。
此外,可以將電漿電源262耦接到噴頭218,以激發氣體通過噴頭218前往位在基板支撐組件238上的基板203。電漿電源262可以提供用於形成電漿的功率,例如RF功率或微波功率。
處理腔室200的功能可以由運算裝置254控制。運算裝置254可以是任何形式的、可在工業環境中使用於控制各種腔室和子處理器的通用電腦中之一者。運算裝置254包括電腦處理器256。運算裝置254包括記憶體258。記憶體258可以包括任何適當的記憶體,例如隨機存取記憶體、唯讀記憶體、快閃記憶體、硬碟、或任何其他形式的、位於本端或遠端的數位儲存器。運算裝置254可以包括各種支援電路260,支援電路260可以被耦接到電腦處理器256,用於以傳統方式支援電腦處理器256。 依據需要,軟體常式可以被儲存在記憶體258中或由位於遠端的第二運算裝置(未圖示)執行。
運算裝置254可以進一步包括一個或更多個電腦可讀媒體(未圖示)。電腦可讀媒體通常包括任何位於本端或遠端的、能夠儲存運算裝置可檢索資訊的裝置。本發明的實施例可使用的電腦可讀媒體之實例包括固態記憶體、軟碟、內部或外部硬碟驅動裝置、及光記憶體(CD、DVD、BR-D等)。在一個實施例中,記憶體258可以是電腦可讀媒體。軟體常式可以被儲存在電腦可讀媒體上,以由運算裝置執行。
當被執行時,軟體常式將通用電腦轉換成控制腔室操作的特定處理電腦,使得腔室處理被執行。或者,軟體常式可以作為專用積體電路或其他類型的硬體實施、或軟體和硬體的組合被以硬體執行。
例示性處理腔室100或例示性處理腔室200可以是群集工具的一部分。第3圖圖示適用於在基板上形成奈米結晶鑽石層的例示性群集工具300。群集工具300的特色為至少一個如上所述的處理腔室100。群集工具300的實例為可購自美國加州聖克拉拉的應用材料公司的Endura®系統。也可以使用其他公司製造的群集工具。
群集工具300可以包括一個或更多個負載鎖定腔室306A、306B,用於移送基板進出群集工具300。典型上,由於群集工具300處於真空之下,故負載鎖定腔室306A、306B可以將被引入群集工具300的基板「抽 真空」。第一機械人310可以在負載鎖定腔室306A、306B及第一組的一個或更多個基板處理腔室312、314、316、318(圖示4個)之間移送基板。可以裝備每個處理腔室312、314、316、318,以執行多個基板處理操作,除了循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、預清洗、除氣、定向及其他基板處理之外還包括本文所述的蝕刻製程。
第一機器人310還可以將基板移送進/出一個或更多個中間移送室322、324。中間移送室322、324可被用來保持超高真空的狀態,同時允許在群集工具300內移送基板。第二機器人330可以在中間移送室322、324及第二組的一個或更多個處理腔室332、334、336、338之間移送基板。與處理腔室312、314、316、318類似,可以裝備處理腔室332、334、336、338,以執行各種基板處理操作,除了例如循環層沉積(CLD)、原子層沉積(ALD)、化學氣相沉積(CVD)、物理氣相沉積(PVD)、預清洗、熱製程/除氣、及定向之外還包括本文所述的蝕刻製程。任何的基板處理腔室312、314、316、318、332、334、336、338若非群集工具300進行的特定製程必需時,則可以被從群集工具300移出。
說明性的多處理群集工具300可以包括多達四個類似於上述處理腔室200設置的處理腔室332、 334、336、338(在334和336之間具有可選的第五腔室)。PVD或ALD腔室312或314可設以沉積薄的ARC/灰化層(例如AlN或SiN或TiN)。
群集工具300可被用於執行以下第4圖和第5圖描述的方法。在一些製程流程中,在群集工具300中進一步處理基板可能是理想的,或更典型是在設置與第3圖圖示的群集工具類似的不同群集工具中處理基板。
第4A圖繪示用於完成至少一部分的高功率脈衝磁控濺鍍(HIPIMS)製程的功率遞送處理程序之曲線圖450。曲線圖450說明一種類型的HIPIMS製程,而且因為曲線圖450是說明性的,所以通常未依比例繪製。如上所述,典型的HIPIMS電源可以在高電壓下、在短期間遞送功率脈衝,以在HIPIMS製程期間產生高密度和能量的電漿。高電壓可以在例如約1,000,000V和約8,000,000V之間的範圍中。功率脈衝452(或能量脈衝)可以藉由電源132以持續時間454(或脈衝寬度)和脈衝循環時間456產生。在一個實例中,每個功率脈衝452的持續時間454係介於約10μs和約40μs之間,並且脈衝循環時間456為200μs,這對應於5000Hz的脈衝重複頻率,即介於160-190μs的連續脈衝的間隔。在脈衝循環時間456內遞送的功率或能量可以在持續時間454期間具有非方形波形(未圖示),因此在持續時間454內的平均功率可以是在介於約10千瓦(kW)和100kW之間、例如介於10kW和40kW之間的值。在一些實施 例中,提供給靶材的每個功率脈衝可以具有等量的功率及/或相同的持續時間。然而,本揭示的實施例並不限於此。例如,提供給靶材的每個脈衝可以具有不同量的功率及/或不同的持續時間。引述的值將被純粹理解為舉例,而且可以在寬的限值內改變。例如,可以使用範圍在10μs和100μs之間的脈衝持續時間並使用介於200μs和1毫秒(ms)之間的脈衝重複時間直接操作。施加高功率到靶材(陰極)的時間往往會被電源的額定值和電源在中間時段458期間花費的充電時間限制。已發現的是,藉由施加高功率脈衝到一個陰極或多個陰極,濺射出的材料將具有高量的反應能(即化學電位)及高的離子化程度。在一些實例中,從一個陰極或多個陰極射出的濺射材料之離子化程度可以在40%至高達100%的範圍中。在一些實施例中,理想的是使用這種高離子化程度來使更多的濺射離子被吸引到浮動或偏壓基板,並以較高的動能抵達,從而導致更緻密的塗層和更迅速的塗佈製程。
為了在HIPIMS製程期間實現濺射材料的高量反應能和高度離子化,需要控制各種處理參數,以確保所產生的濺射材料具有這些理想的性質。在一些實施例中,製程參數包括控制位置鄰近靶材面的磁控管之磁場強度及功率脈衝452在持續時間454內遞送用以產生具有理想能量(例如電子溫度Te)和電漿密度(Ne)的電漿之功率量。已發現的是,藉由控制脈衝寬度、每個脈衝遞 送的能量、及遞送脈衝的非空因數(duty cycle),可以在基板表面上的層中形成含Sp3的濺鍍碳材料。
第7A圖和第7B圖為描繪靶材偏壓(脈衝電壓)對電漿能量(例如電子溫度Te)和電漿密度的影響之實例的曲線圖。第7A圖圖示靶材偏壓電壓(例如功率脈衝452)對電漿能量(例如電子溫度Te)的影響為脈衝寬度的函數。如第7A圖所圖示,在固定的電源功率設定點下,隨著脈衝寬度增加,電漿能量將而從初始峰值減小到較小的值。峰值電漿能量與能夠在處理腔室的處理空間中產生並維持電漿的最小脈衝寬度位置重疊,在本實例中為約6.5至7電子伏特(eV)。隨著脈衝寬度增加,同時保持固定的功率遞送設定點(例如20kWs),電子溫度或電漿能量從峰值降到一些較低的值。將注意到的是,對於較大的靶材偏壓電壓來說,由於靶材偏壓增大時產生的電漿密度增加,故電漿能量下降地更快。據信,在不同的靶材偏壓電壓下,電漿能量的下降與電漿中離子和電子之間的碰撞次數增加、從而降低平均電漿能量(例如電子溫度Te)有關。將進一步注意到的是,電漿能量也與濺射原子落在基板表面上時所含的能量有關,因此提高靶材偏壓也將傾向於降低電漿中及落在基板表面上的濺射原子之能量。
在第7B圖中,將電漿密度圖示為脈衝寬度與靶材偏壓電壓的函數。一般來說,在第7B圖中可以看到,在每個靶材偏壓電壓下,小於某些下限值的脈衝寬度對於 形成緻密電漿是無效的,而且在相同的靶材偏壓電壓下,大於上限值的脈衝寬度對於形成緻密電漿也是同樣無效的。因此,如第7B圖所示,在固定的靶材偏壓下藉由供應脈衝寬度大於下限值並小於上限值的功率脈衝452,可以將電漿密度(Ne)維持在峰值或接近峰值。將注意到的是,較高的電漿密度將會提高通過電漿的濺射原子之HIPIMS沉積速率與離子化速率。還將注意到的是,當偏壓電壓增大時,電漿密度的峰值往較短的脈衝寬度偏移,因此峰值電漿密度是靶材偏壓電壓與脈衝寬度的函數。在一個實例中,在功率脈衝452期間施加的1000V靶材偏壓在介於約125μs和約225μs之間的脈衝寬度下具有峰值電漿密度(Ne),與600V的靶材偏壓之峰值電漿密度(Ne)不同,600V的靶材偏壓在介於約175μs和約350μs之間具有峰值電漿密度(Ne)。
因此,為了確保生長的濺鍍沉積薄膜具有理想的物理、化學及結構性質,例如Sp3鑽石結構,需要平衡並調整競爭處理體系,例如電漿能量(第7A圖)和電漿密度(第7B圖)。因此,需要有一種包括選擇形成電漿的靶材偏壓電壓和脈衝寬度的HIPIMS製程,該電漿將賦予濺射原子理想量的能量、高的離子化速率及離子化程度,以實現理想的HIPIMS濺鍍沉積速率、薄膜晶體結構及薄膜應力。
此外,由於在HIPIMS製程中使用的短脈衝寬度或持續時間454,已經發現的是,在形成濺射電漿的 初始階段期間產生的「預鞘(pre-sheath)」對於形成高能量和高度離子化濺射材料的過程具有顯著的影響。一般來說,「預鞘」是在形成平衡電漿鞘區域的同時,在靶材和基板之間的處理區域內(例如第1圖的處理空間118)隨著時間變化而具有不同尺寸的電漿之非平衡區域。因此,在形成電漿的過程之早期階段,被遞送到靶材以被耦合到位在處理腔室的處理區域中的濺鍍氣體(例如氬(Ar))的功率之能力對於用以從靶材表面濺射材料的離子能量具有大的影響。據信,當功率脈衝452被施加於靶材時,預鞘形成過程持續約第一個10至40μs。
第6圖圖示對於各具有不同磁場強度的不同磁控管來說,電漿中形成的浮動電勢為與靶材的距離之函數的曲線圖。如第6圖所示,200高斯的磁控管由菱形表示,具有第一對應曲線;500高斯的磁控管由方形表示,具有第二對應曲線;以及800高斯的磁控管由三角形表示,具有第三對應曲線。這些實例包括使用50μs脈衝寬度的HIPIMS製程,對於每一種磁控管配置,該HIPIMS製程使用相同的靶材偏壓電壓和功率。值得注意的是,對於200高斯的磁控管組件來說,在靶材和基板之間的浮動電勢沒有明顯的變化。對於500高斯的實例來說,在靶材和基板之間的浮動電勢在腔室的基板端附近也是大致上恆定的,但當移動到更靠近靶材的表面時趨於降低(例如電漿具有越來越負的浮動電勢)。對於800高斯的實例來說,在靶材和基板之間的電勢明顯不同,因為當從基板的 表面往靶材的表面移動時,浮動電勢急劇降低。所屬技術領域中具有通常知識者將理解的是,由於磁場強度(例如通過靶材表面的磁力線數量)增加,更高的磁控管磁場強度將會提高磁控管捕獲從偏壓靶材的表面發射的電子之能力。所屬技術領域中具有通常知識者還將理解的是,低磁場強度(例如<<200高斯)的磁控管也是不理想的,因為這些低磁場通常將無法在濺鍍製程期間有效捕獲從靶材發射的電子。由磁場捕獲的電子通常被用來改良濺射氣體和濺鍍材料的離子化,因此使用低磁場強度磁控管會妨礙均勻可靠的電漿形成。
據信,使用不提供大致平坦浮動電勢(Vf)分佈(例如由200高斯磁控管產生的分佈,第6圖中圖示的第一對應曲線)的磁控管組件將具有降低的、從電漿提取離子的能力。換言之,當由磁控管產生的磁場增大時,磁控管在靠近靶材面的電漿中捕獲離子的能力提高,因此這防止了氣體離子和離子化濺射材料在處理過程中被吸引到浮動、接地或偏壓基板。無法從電漿提取離子將會影響用以在基板表面上形成沉積層的濺射材料之沉積速率和能量。因此,在一些實施例中,理想的是選擇具有大致上平坦的浮動電勢分佈的磁控管。
在一些實施例中,為了確保生長的濺鍍沉積薄膜具有理想的物理、化學及結構性質,例如Sp3鑽石結構,需要平衡、調整及/或控制電漿能量、電漿密度、而且還選擇由磁控管組件供應的理想磁場強度。因此,需要 有一種包括選擇磁控管組件及形成電漿的靶材偏壓電壓和脈衝寬度的HIPIMS製程,該磁控管組件具有理想的磁場強度,以提供理想的浮動電勢分佈(例如大致平坦的分佈),該電漿將賦予濺射原子理想量的能量、高的離子化速率及離子化程度,以實現理想的HIPIMS濺鍍沉積速率、薄膜晶體結構及薄膜應力。
第4B圖為用於在PVD處理腔室(例如處理腔室200)中沉積奈米結晶鑽石層的方法之流程圖。如以上注意到的,當磁場強度增大時,在電漿形成過程的預鞘產生階段期間從電漿提取離子的能力變得更加困難。較低的高斯水平允許電漿形成得更靠近靶材,所以不會影響預鞘形成。因此,藉由將磁場強度降至小於300高斯,例如約200高斯,可以使用更短的脈衝時間來形成理想的預鞘。因此,磁場和脈衝時間之間的相互作用允許在保持沉積品質的同時且在低溫(例如室溫)下奈米結晶鑽石沉積有高的沉積速率。
方法400通常包括遞送濺鍍氣體到處理腔室的處理區域,該處理腔室具有大體含碳的濺鍍靶材。然後遞送能量脈衝到濺鍍氣體,以形成濺鍍電漿。在一個實例中,濺鍍電漿具有濺鍍持續時間,能量脈衝具有介於1W/cm2和10W/cm2之間的平均功率,而且脈衝寬度小於100μs並大於30μs,濺鍍電漿被磁場控制,磁場小於300高斯。從而在鄰近大體含碳的濺鍍靶材的處理空間 中形成濺鍍電漿,以形成濺射和離子化的含碳物種,該濺射和離子化的含碳物種在基板上形成結晶的含碳層。
方法400藉由遞送濺鍍氣體到處理腔室的處理空間而開始於402,該處理腔室具有含碳濺鍍靶材。在一個實例中,該處理腔室為以上參照第2圖描述的處理腔室200。在另一個實例中,該處理腔室為被修改來執行本文所述操作的處理腔室。該處理腔室具有含碳靶材,例如石墨靶材。濺鍍氣體通常是對基板或濺鍍靶材惰性的氣體。在一個實例中,濺鍍氣體為氬氣。
在404,基板被定位在處理空間中之後,將一系列的能量脈衝遞送到濺鍍氣體以形成濺鍍電漿。濺鍍電漿可以使用結合第4A圖描述的能量遞送處理形成。一般來說,在此處理階段期間提供能量脈衝包括選擇形成電漿的靶材偏壓電壓和脈衝寬度,該電漿將賦予理想量的能量來實現理想的電漿能量(例如電子溫度Te)和電漿密度(Ne),以實現高離子化速率及離子化程度的濺射原子,以實現理想的HIPIMS濺鍍沉積速率、薄膜晶體結構及薄膜應力。在一個實例中,用以形成濺鍍電漿的能量脈衝可以分別具有介於1W/cm2和10W/cm2之間的平均功率。應當指出的是,由於此HIPIMS PVD製程中使用的短脈衝寬度,還選擇了在此處理步驟期間的磁控管磁場強度,以確保理想的預鞘形成,並在基板表面產生理想量的、從電漿提取的離子。磁控管的磁場可以小於300高斯,例如約200高斯。
不希望受到理論的束縛,據信,形成穩定的預鞘對於低溫沉積奈米結晶鑽石是有益的。在電漿形成製程期間,最初電場是相當高的。在電漿形成的開始時,電漿本身最終佔據相當大的空間。然後鞘收縮並緻密化到可容納所有流進處理腔室之處理空間的電流的點。預鞘就在圍繞靶材的正電荷粒子收縮和緻密化之前形成。預鞘影響濺射靶材的能量動力、離子化分率及濺射分率。現有的HIPIMS技術提供的沉積速率可量測地小於標準PVD沉積。已經發現的是,藉由控制到處理空間的能量遞送處理(第4A圖),可將理想的電漿能量(Te)和電漿密度(Ne)賦予電漿,使得在早期階段形成並在本文描述的HIPIMS PVD製程的功率遞送部分之大部分期間保持的形成預鞘將含有理想的性質,該理想的性質使HIPIMS PVD製程具有高沉積速率並提供足夠的能量給濺射原子,以允許Sp3碳層形成在基板上。
一旦電漿形成,則在406將濺鍍電漿遞送到濺鍍靶材以形成離子化物種,該離子化物種在基板上形成結晶含碳層。上述的濺鍍功率、非空因數及磁場允許基板上有提高的Sp3對Sp2沉積分率。
第5圖為依據本文描述的實施例用於形成中間碳化物層的方法之流程圖。據信,碳化物層對於與類鑽石碳層不同的奈米結晶鑽石層之生長是有益的。在另一個實施例中,上述的HIPIMS PVD法可被用來形成一個或更多個中間層。一旦中間層形成了,則可以使用二次生長 法(例如CVD方法)來生長奈米結晶鑽石層。方法500包括在502在第一HIPIMS PVD處理腔室(例如處理腔室100)中定位基板,該第一處理腔室具有含碳濺鍍靶材和濺鍍氣體;遞送一系列的能量脈衝到濺鍍氣體,以使用能量遞送處理(例如第4A圖圖示的)形成第一電漿。在504,第一電漿由磁場控制。在506,由此遞送第一電漿到濺鍍靶材,以形成離子化物種,使得離子化物種在基板上形成中間碳化物層。然後在508將基板移送到第二處理腔室。在第二處理腔室中時,在成核氣體存在下形成電漿,以形成活化的成核氣體,其中該成核氣體包含含碳源。然後在510活化該成核氣體,以在基板上形成成核層。然後在510活化沉積氣體以形成活化沉積氣體。然後在512遞送活化沉積氣體到基板,活化沉積氣體在基板上形成奈米結晶鑽石層。
方法500藉由將基板定位在第一處理腔室中而開始於502,該第一處理腔室具有含碳濺鍍靶材和濺鍍氣體。基板可以具有任意成分,例如結晶矽基板。基板還可以包括一個或更多個特徵,例如形成在基板表面中的通孔或互連件。基板可以被支撐在基板支座上。在一個實施例中,本實施例中使用的濺鍍靶材和濺鍍氣體與以上結合第4A-4B圖描述的相同。
本文中使用的「基板表面」係指任何基板或形成在基板上的材料表面,該表面上可進行薄膜處理。例如,上面可以進行處理的基板表面包括諸如矽、氧化矽、 氮化矽、摻雜矽、鍺、砷化鎵、玻璃、藍寶石等材料、及任何其他諸如金屬、金屬氮化物、金屬合金等材料、以及其他導電材料,視應用而定。基板表面還可以包括諸如二氧化矽和摻雜碳的矽氧化物等介電質材料。基板可以具有各種尺寸,例如200mm、300mm、450mm、或其他直徑的晶圓、以及矩形或方形窗玻璃。
然後在步驟504可以將能量脈衝遞送到濺鍍氣體以形成第一電漿。在一個實施例中,能量脈衝是以上討論的高功率脈衝磁控濺鍍(HIPIMS)脈衝。脈衝功率、脈衝時間、平均功率、磁場強度及HIPIMS製程的其他參數可以與結合第4A-4B圖描述的相同。
如以上指出的,HIPIMS PVD製程在<10%的低非空因數(開/關時間比)下以幾十微秒的短脈衝(衝擊)利用kW.cm-2等級的高功率密度。HIPIMS的區別性特徵是濺鍍材料的高度離子化及高分子氣體離解速率,從而導致高密度的沉積膜。離子化和離解度依據峰值陰極功率增加。選擇磁場強度,使得短脈衝可以被使用,同時在靶材和基板之間保持低的電勢差,例如小於300高斯(例如約200高斯)。
在一個或更多個實施例中,提供到靶材的每個脈衝可以具有至少1千瓦的平均功率。在一些實施例中,提供到靶材的每個脈衝可以具有至少1兆瓦的功率。例如,每個脈衝可以具有約1-3兆瓦的功率。另外,在一個或更多個實施例中,提供到靶材的每個脈衝可以具有約 1微秒至300微秒、例如介於10和100微秒之間的持續時間。在一些實施例中,提供到靶材的每個脈衝可以具有約1微秒至200微秒的持續時間、或約100微秒至200微秒的持續時間。例如,每個脈衝可以具有約50微秒的持續時間。此外,在一個或更多個實施例中,提供到靶材的每個脈衝可以被間隔約100毫秒的持續時間。也就是說,在提供到靶材的每個脈衝之間可以有100毫秒。然而,本揭示的實施例並不限於特定的持續時間。
然後在步驟506可以將濺鍍電漿遞送到濺鍍靶材以形成離子化物種,該離子化物種在基板上形成中間碳化物層。濺鍍靶材可以是含碳靶材,例如石墨靶材。靶材蒸汽的離子化程度是放電的峰值電流密度、以及脈衝寬度和磁場強度的函數。在一個實施例中,能量脈衝可以具有介於1W/cm2和10W/cm2之間的功率、小於10%的非空因數、及介於約10微秒和100微秒之間的脈衝長度。
離子化物種到達基板、在基板上形成中間碳化物層。中間碳化物層充當種晶層用於進一步沉積。
在508,可以將基板移送到第二處理腔室。用於一個或更多個實施例的處理腔室可以是任何具有遠端電漿源的CVD處理腔室,例如上述的處理腔室100或來自其他製造商的腔室。以下描述的流動速率及其他處理參數是用於300mm的基板。應當瞭解的是,在不偏離本文 揭示的發明之下,可以基於處理基板的尺寸和使用的腔室類型來調整這些參數。
可選的是,然後可以對基板加偏壓。偏壓可以是偏壓增強成核製程的一部分。在偏壓增強成核的情況下,基板可以是負偏壓。在一個實例中,基板係使用偏壓預處理加偏壓。偏壓可以具有介於約100毫安和約200毫安之間的電流。偏壓可以具有介於約150伏和約250伏之間的電壓。
然後,在步驟510,可以在沉積氣體存在下形成電漿,以形成活化沉積氣體。電漿可以從沉積氣體或第二氣體(例如惰性氣體)形成。電漿可以在本端或遠端形成。可以藉由使用電源形成電漿來活化沉積氣體。可以使用任何能夠將氣體活化成反應物種並保持反應物種的電漿的電源。例如,可以使用基於射頻(RF)、直流(DC)、或微波(MW)的功率放電技術。沉積氣體可以包含含碳源、含氫源、惰性氣體、或上述之組合。
含碳前驅物可以是烷烴、烯烴、或炔烴前驅物。烷烴前驅物可以是飽和未分支的烴,例如甲烷、乙烷、丙烷、及上述之組合。其他的烷烴前驅物包括正丁烷、正戊烷、正己烷、正庚烷、正辛烷、及上述之組合。含氫氣體可以包括H2、H2O、NH3或其他含氫分子。沉積氣體可以進一步包括惰性氣體。惰性氣體可以是鈍氣,例如氬氣。
然後在步驟512可以將活化沉積氣體遞送到基板,活化沉積氣體在成核層上生長出奈米結晶鑽石層。來自先前形成的活化沉積氣體的自由基撞擊基板表面以形成奈米結晶鑽石層。本文中使用的基板表面可以包括被形成在基板表面上的任何層,例如中間碳化物層。
上述方法教示形成至少一個中間碳化物層用於生長奈米結晶鑽石層。藉由沉積均勻的中間碳化物層,奈米結晶鑽石可以被共形地沉積並具有降低的粗糙度。
雖然前述係針對本發明的實施例,但在不偏離本發明的基本範圍下仍可設計出本發明的其他和進一步實施例,而且本發明之範圍係由隨後的申請專利範圍決定。

Claims (18)

  1. 一種形成一含碳層的方法,包含以下步驟:遞送一濺鍍氣體到一基板,該基板被定位在一第一處理腔室之一處理區域中,該第一處理腔室具有一含碳濺鍍靶材;遞送一能量脈衝到該濺鍍氣體,以產生一濺鍍電漿,該濺鍍電漿係藉由能量脈衝形成,該能量脈衝具有一介於約1W/cm2和約10W/cm2之間的平均功率及一小於100μs並大於30μs的脈衝寬度,該濺鍍電漿被暴露於一小於300高斯的磁場;以及形成一離子化物種,該離子化物種包含一從該含碳濺鍍靶材濺射的含碳材料,其中該離子化物種在該基板上形成一結晶含碳層,以及其中該基板被保持在一低於攝氏100度的溫度下。
  2. 如請求項1所述之方法,其中生長該結晶含碳層進一步包含以下步驟:提供一偏壓到該基板;在一沉積氣體存在下形成一電漿,以形成一活化沉積氣體,該沉積氣體包含一含碳源;以及遞送該活化沉積氣體到該基板,以在該結晶含碳層上形成一奈米結晶鑽石層。
  3. 如請求項2所述之方法,其中該沉積氣體進一步包含一氫源。
  4. 如請求項2所述之方法,其中該沉積氣體包含CH4、H2、Ar、CO2或上述之組合。
  5. 如請求項1所述之方法,其中該含碳濺鍍靶材為一石墨靶材。
  6. 如請求項1所述之方法,其中該基板被保持在一介於約2毫托和約20毫托之間的壓力下。
  7. 如請求項1所述之方法,其中該結晶含碳層為一奈米結晶鑽石層。
  8. 如請求項2所述之方法,其中該沉積氣體係使用一微波源活化。
  9. 如請求項1所述之方法,其中該能量脈衝係介於約10微秒和100微秒之間。
  10. 如請求項1所述之方法,其中該磁場小於約200高斯。
  11. 一種形成一含碳層的方法,包含以下步驟:遞送一濺鍍氣體到一基板,該基板被定位在一第一處理腔室之一處理區域中,該第一處理腔室具有一石墨靶材;遞送一能量脈衝到該濺鍍氣體,以產生一濺鍍電漿,該濺鍍電漿係藉由能量脈衝形成,該能量脈衝具有一介於約1W/cm2和約10W/cm2之間的平均功率及一小於100μs的脈衝寬度,該濺鍍電漿被一磁場控制,該磁場小於300高斯;形成該濺鍍電漿,使得一中間碳化物層被形成在該基板上,其中該基板被保持在一低於攝氏100度的溫度下;將具有該中間碳化物層的基板移送到一第二處理腔室;遞送一沉積氣體到該第二處理腔室;活化該沉積氣體以形成一活化沉積氣體,該沉積氣體包含CH4、H2、Ar、CO2或上述之組合;遞送該活化沉積氣體到一基板;以及在該基板之一表面上生長一奈米結晶鑽石層。
  12. 如請求項11所述之方法,其中該基板被保持在一介於約2毫托和約20毫托之間的壓力下。
  13. 如請求項11所述之方法,其中非空因數(duty cycle)小於濺鍍持續時間之約10%。
  14. 如請求項11所述之方法,其中該沉積氣體係使用一微波源活化。
  15. 如請求項11所述之方法,其中該能量脈衝係介於約10微秒和100微秒之間。
  16. 如請求項11所述之方法,其中該磁場小於約200高斯。
  17. 一種形成一含碳層的方法,包含以下步驟:遞送一惰性氣體到一基板,該基板被定位在一PVD處理腔室之一處理區域中,該PVD處理腔室具有一石墨濺鍍靶材;將該PVD處理腔室保持在一低於攝氏100度的溫度下;遞送一能量脈衝到該濺鍍氣體,以產生一濺鍍電漿,該濺鍍電漿具有一濺鍍持續時間,該能量脈衝具有一介於1W/cm2和10W/cm2之間的平均功率、一大於該平均功率的脈衝電壓、及一小於100μs的脈衝寬度,該濺鍍電漿被一磁場控制,該磁場小於或等於200高斯;以及遞送該濺鍍電漿到該石墨濺鍍靶材,以在該基板上形成一奈米結晶鑽石層。
  18. 如請求項17所述之方法,其中該基板被保持在一介於約2毫托和約20毫托之間的壓力下。
TW104126602A 2014-08-22 2015-08-14 形成含碳層的方法 TWI663276B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US201462040809P 2014-08-22 2014-08-22
US62/040,809 2014-08-22

Publications (2)

Publication Number Publication Date
TW201614089A TW201614089A (en) 2016-04-16
TWI663276B true TWI663276B (zh) 2019-06-21

Family

ID=55347797

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104126602A TWI663276B (zh) 2014-08-22 2015-08-14 形成含碳層的方法

Country Status (6)

Country Link
US (1) US9695503B2 (zh)
JP (1) JP6673903B2 (zh)
KR (1) KR20170044174A (zh)
CN (1) CN106663609B (zh)
TW (1) TWI663276B (zh)
WO (1) WO2016028640A1 (zh)

Families Citing this family (48)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11359274B2 (en) 2015-12-21 2022-06-14 IonQuestCorp. Electrically and magnetically enhanced ionized physical vapor deposition unbalanced sputtering source
US10957519B2 (en) 2015-12-21 2021-03-23 Ionquest Corp. Magnetically enhanced high density plasma-chemical vapor deposition plasma source for depositing diamond and diamond-like films
US10480063B2 (en) 2015-12-21 2019-11-19 Ionquest Corp. Capacitive coupled plasma source for sputtering and resputtering
US11482404B2 (en) 2015-12-21 2022-10-25 Ionquest Corp. Electrically and magnetically enhanced ionized physical vapor deposition unbalanced sputtering source
US11823859B2 (en) 2016-09-09 2023-11-21 Ionquest Corp. Sputtering a layer on a substrate using a high-energy density plasma magnetron
US10249495B2 (en) * 2016-06-28 2019-04-02 Applied Materials, Inc. Diamond like carbon layer formed by an electron beam plasma process
US10566177B2 (en) * 2016-08-15 2020-02-18 Applied Materials, Inc. Pulse shape controller for sputter sources
US10858727B2 (en) * 2016-08-19 2020-12-08 Applied Materials, Inc. High density, low stress amorphous carbon film, and process and equipment for its deposition
US20180108519A1 (en) * 2016-10-17 2018-04-19 Applied Materials, Inc. POWER DELIVERY FOR HIGH POWER IMPULSE MAGNETRON SPUTTERING (HiPIMS)
US11313034B2 (en) * 2016-11-18 2022-04-26 Applied Materials, Inc. Methods for depositing amorphous silicon layers or silicon oxycarbide layers via physical vapor deposition
US10570506B2 (en) * 2017-01-24 2020-02-25 Applied Materials, Inc. Method to improve film quality for PVD carbon with reactive gas and bias power
US20200176234A1 (en) * 2017-04-07 2020-06-04 Ionquest Corp. High-power resonance pulse ac hedp sputtering source and method for material processing
KR102630654B1 (ko) * 2017-05-01 2024-01-29 더 존스 홉킨스 유니버시티 나노트위닝된 니켈-몰리브덴-텅스텐 합금을 증착시키는 방법
US10622214B2 (en) 2017-05-25 2020-04-14 Applied Materials, Inc. Tungsten defluorination by high pressure treatment
JP7235683B2 (ja) 2017-06-08 2023-03-08 アプライド マテリアルズ インコーポレイテッド ハードマスク及びその他のパターニング応用のための高密度低温炭素膜
US11043375B2 (en) 2017-08-16 2021-06-22 Applied Materials, Inc. Plasma deposition of carbon hardmask
CN111095513B (zh) 2017-08-18 2023-10-31 应用材料公司 高压高温退火腔室
US10276411B2 (en) 2017-08-18 2019-04-30 Applied Materials, Inc. High pressure and high temperature anneal chamber
US20190127842A1 (en) * 2017-10-30 2019-05-02 Applied Materials, Inc. Pulsed dc source for high power impulse magnetron sputtering physical vapor deposition of dielectric films and methods of application
EP4321649A3 (en) 2017-11-11 2024-05-15 Micromaterials LLC Gas delivery system for high pressure processing chamber
CN111432920A (zh) 2017-11-17 2020-07-17 应用材料公司 用于高压处理系统的冷凝器系统
KR102404119B1 (ko) * 2017-12-13 2022-05-31 어플라이드 머티어리얼스, 인코포레이티드 전하 손상을 방지하기 위해 플라즈마 펄싱을 이용하는 공간적 원자 층 증착 챔버
WO2019173006A1 (en) 2018-03-09 2019-09-12 Applied Materials, Inc. High pressure annealing process for metal containing materials
WO2019199681A1 (en) 2018-04-09 2019-10-17 Applied Materials, Inc. Carbon hard masks for patterning applications and methods related thereto
US10950429B2 (en) 2018-05-08 2021-03-16 Applied Materials, Inc. Methods of forming amorphous carbon hard mask layers and hard mask layers formed therefrom
US11158507B2 (en) 2018-06-22 2021-10-26 Applied Materials, Inc. In-situ high power implant to relieve stress of a thin film
US10748783B2 (en) 2018-07-25 2020-08-18 Applied Materials, Inc. Gas delivery module
WO2020117462A1 (en) 2018-12-07 2020-06-11 Applied Materials, Inc. Semiconductor processing system
JP2020117739A (ja) * 2019-01-18 2020-08-06 日東電工株式会社 膜付き対象物の製造方法
CN110138362B (zh) * 2019-04-10 2020-10-27 北京航空航天大学 一种从靶材泵出离子的新型脉动等离子体的电源
EP3736358A1 (en) * 2019-05-08 2020-11-11 Walter Ag A coated cutting tool
US11595971B1 (en) * 2019-05-28 2023-02-28 Marvell Asia Pte Ltd Quieting a wireless local area network
CN110184577B (zh) * 2019-06-19 2021-06-11 中国科学院宁波材料技术与工程研究所 柔性基底表面兼具压阻性能与韧性的非晶碳膜的制备方法及其应用
KR20220037456A (ko) 2019-07-01 2022-03-24 어플라이드 머티어리얼스, 인코포레이티드 플라즈마 결합 재료들을 최적화하는 것에 의한 막 특성들의 조절
US11901222B2 (en) 2020-02-17 2024-02-13 Applied Materials, Inc. Multi-step process for flowable gap-fill film
CN111304615A (zh) * 2020-04-01 2020-06-19 昆山浦元真空技术工程有限公司 战斗机驾驶舱玻璃罩表面物理气相沉积设备
CN111560588B (zh) * 2020-05-09 2022-05-03 南方科技大学 用于超高真空环境的磁控溅射靶、磁控溅射装置
WO2021245154A1 (en) * 2020-06-03 2021-12-09 Applied Materials, Inc. Deposition apparatus, processing system, and method of manufacturing a layer of an optoelectronic device
WO2021244738A1 (en) * 2020-06-03 2021-12-09 Applied Materials, Inc. Deposition apparatus, processing system, method of maintaining a deposition apparatus, and method of manufacturing a layer of an optoelectronic device
US11664226B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density carbon films for hardmasks and other patterning applications
US11664214B2 (en) 2020-06-29 2023-05-30 Applied Materials, Inc. Methods for producing high-density, nitrogen-doped carbon films for hardmasks and other patterning applications
US11404263B2 (en) * 2020-08-07 2022-08-02 Applied Materials, Inc. Deposition of low-stress carbon-containing layers
US11594416B2 (en) 2020-08-31 2023-02-28 Applied Materials, Inc. Tribological properties of diamond films
US20220127721A1 (en) * 2020-10-23 2022-04-28 Applied Materials, Inc. Depositing Low Roughness Diamond Films
CN112030133B (zh) * 2020-11-06 2021-03-23 上海征世科技有限公司 一种金刚石及其制备方法和应用
KR102349212B1 (ko) * 2021-04-28 2022-01-07 권순영 조성 조절이 가능한 코팅층 형성방법
US20230260800A1 (en) * 2022-02-15 2023-08-17 Applied Materials, Inc. Methods to reduce uncd film roughness
WO2023191664A1 (ru) * 2022-03-29 2023-10-05 Алитет Зигмович ЧЕПОНАС Способ выращивания алмазов

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103088292A (zh) * 2011-10-31 2013-05-08 豪泽尔涂层技术有限公司 用于在多个工件和一个工件上沉积无氢四面体非晶碳层的装置和方法

Family Cites Families (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH06952B2 (ja) * 1985-04-18 1994-01-05 鐘淵化学工業株式会社 硬質カ−ボン膜
EP0221531A3 (en) * 1985-11-06 1992-02-19 Kanegafuchi Kagaku Kogyo Kabushiki Kaisha High heat conductive insulated substrate and method of manufacturing the same
JPH07268622A (ja) * 1994-03-01 1995-10-17 Applied Sci & Technol Inc マイクロ波プラズマ付着源
US7247221B2 (en) 2002-05-17 2007-07-24 Applied Films Corporation System and apparatus for control of sputter deposition process
US8808856B2 (en) 2005-01-05 2014-08-19 Pureron Japan Co., Ltd. Apparatus and method for producing carbon film using plasma CVD and carbon film
KR100812504B1 (ko) 2006-09-05 2008-03-11 성균관대학교산학협력단 전도성 고경도 탄소박막의 제조 방법 및 박막 전계 발광소자용 전극으로의 응용
US8500963B2 (en) 2006-10-26 2013-08-06 Applied Materials, Inc. Sputtering of thermally resistive materials including metal chalcogenides
DE102007058356A1 (de) * 2007-06-20 2008-12-24 Systec System- Und Anlagentechnik Gmbh & Co.Kg PVD-Verfahren und PVD-Vorrichtung zur Erzeugung von reibungsarmen, verschleißbeständigen Funktionsschichten und damit hergestellte Beschichtungen
JP4755262B2 (ja) 2009-01-28 2011-08-24 株式会社神戸製鋼所 ダイヤモンドライクカーボン膜の製造方法
US9984915B2 (en) * 2014-05-30 2018-05-29 Infineon Technologies Ag Semiconductor wafer and method for processing a semiconductor wafer

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN103088292A (zh) * 2011-10-31 2013-05-08 豪泽尔涂层技术有限公司 用于在多个工件和一个工件上沉积无氢四面体非晶碳层的装置和方法

Also Published As

Publication number Publication date
CN106663609B (zh) 2020-04-03
TW201614089A (en) 2016-04-16
JP2017534750A (ja) 2017-11-24
US9695503B2 (en) 2017-07-04
JP6673903B2 (ja) 2020-03-25
US20160053366A1 (en) 2016-02-25
KR20170044174A (ko) 2017-04-24
WO2016028640A1 (en) 2016-02-25
CN106663609A (zh) 2017-05-10

Similar Documents

Publication Publication Date Title
TWI663276B (zh) 形成含碳層的方法
JP6382055B2 (ja) 被処理体を処理する方法
JP6366454B2 (ja) 被処理体を処理する方法
JP6328524B2 (ja) エッチング方法
JP6559430B2 (ja) 被処理体を処理する方法
TW201903179A (zh) 電漿反應器中類鑽石碳的沉積或處理及電漿反應器
JP2016225437A (ja) エッチング方法
US8911602B2 (en) Dual hexagonal shaped plasma source
JP6438831B2 (ja) 有機膜をエッチングする方法
TWI716378B (zh) 蝕刻方法
US20200048760A1 (en) High power impulse magnetron sputtering physical vapor deposition of tungsten films having improved bottom coverage
JP6504827B2 (ja) エッチング方法
JP5461690B2 (ja) スパッタリング装置及びスパッタリング方法
US10233535B2 (en) Plasma processing apparatus and plasma processing method
JP2000068227A (ja) 表面処理方法および装置
US20190127842A1 (en) Pulsed dc source for high power impulse magnetron sputtering physical vapor deposition of dielectric films and methods of application
TWI774234B (zh) 半導體沉積系統及其操作方法
CN105810579A (zh) 蚀刻方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees