TWI655513B - 用於形成細間距特徵的光刻圖案 - Google Patents

用於形成細間距特徵的光刻圖案 Download PDF

Info

Publication number
TWI655513B
TWI655513B TW106116106A TW106116106A TWI655513B TW I655513 B TWI655513 B TW I655513B TW 106116106 A TW106116106 A TW 106116106A TW 106116106 A TW106116106 A TW 106116106A TW I655513 B TWI655513 B TW I655513B
Authority
TW
Taiwan
Prior art keywords
layer
opening
sacrificial layer
resist
sacrificial
Prior art date
Application number
TW106116106A
Other languages
English (en)
Other versions
TW201804258A (zh
Inventor
尚尼爾K 辛
索漢S 米塔
薛傑恩 辛
瑞維P 斯瑞泛斯特法
Original Assignee
美商格羅方德半導體公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商格羅方德半導體公司 filed Critical 美商格羅方德半導體公司
Publication of TW201804258A publication Critical patent/TW201804258A/zh
Application granted granted Critical
Publication of TWI655513B publication Critical patent/TWI655513B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/0271Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers
    • H01L21/0273Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising organic layers characterised by the treatment of photoresist layers
    • H01L21/0274Photolithographic processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0334Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane
    • H01L21/0337Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their size, orientation, disposition, behaviour, shape, in horizontal or vertical plane characterised by the process involved to create the mask, e.g. lift-off masks, sidewalls, or to modify the mask, e.g. pre-treatment, post-treatment
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3105After-treatment
    • H01L21/311Etching the insulating layers by chemical or physical means
    • H01L21/31144Etching the insulating layers by chemical or physical means using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76816Aspects relating to the layout of the pattern or to the size of vias or trenches
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明涉及用於形成細間距特徵的光刻圖案,揭示用於形成一晶片的互連結構的光刻圖案的方法。一硬遮罩層形成於一介電層上。一犧牲層形成於該硬遮罩層上。第一開口與第二開口形成於該犧牲層中,並延伸通過該犧牲層至該硬遮罩層。一抗蝕層形成於該犧牲層上。一開口形成於橫向位於該第一犧牲層的該第一開口以及該第一犧牲層的該第二開口之間的該抗蝕層中。該抗蝕層由對該硬遮罩層具有可移除選擇性的一金屬氧化物抗蝕材料所組成。

Description

用於形成細間距特徵的光刻圖案
本發明涉及集成電路以及半導體裝置製造,尤指用於形成一晶片的互連結構的光刻圖案的方法。
一後段制程(back-end-of-line;BEOL)互連結構可用於電性耦接在前段制程(front-end-of-line;FEOL)工藝期間製作在一襯底上的裝置結構。該後段制程互連結構可以使用一雙鑲嵌(dual-damascene)工藝而形成,其中,蝕刻於一介電層中的通孔以及溝槽同時填充金屬以生成一金屬化層(metallization level)。於先通孔(via-first)、後溝槽(trench-last)的雙鑲嵌工藝中,通孔形成於一介電層中,然後一溝槽形成於該通孔上方的該介電層中,該通孔於形成該溝槽的蝕刻工藝期間未被填充。於一單鑲嵌工藝中,該通孔以及溝槽形成於不同的介電層中並分別填充金屬。
乾蝕刻工藝通常在涉及銅和一低K介電材料的雙鑲嵌工藝期間用於製造溝槽和通孔。隨著半導體裝置技術節點向更小的尺寸發展,半導體裝置的尺寸減小卻增 加了控制通孔和溝槽的輪廓的難度。金屬硬遮罩(hardmask)已被用於提高低K介電材料的蝕刻選擇性,從而於蝕刻期間提高輪廓的控制。隨著先進半導體裝置的技術節點被縮小到7奈米及以下,於具有多圖案技術的一低K介電材料中形成特徵的能力變得越來越具有挑戰性。
需要一種光刻圖案的改善方法以形成一晶片的互連結構。
根據本發明的一實施例,提供一種形成一互連層的方法。該方法包括形成一硬遮罩層於一介電層上,形成一犧牲層於該硬遮罩層上,以及形成第一開口及第二開口於該犧牲層中,並延伸通過該犧牲層至該硬遮罩層。該方法還包括形成一第一抗蝕層於該犧牲層上,並形成一開口於橫向位於該犧牲層中的該第一開口以及該犧牲層中的該第二開口之間的該抗蝕層中。該抗蝕層由對於該硬遮罩層具有可移除選擇性的一金屬氧化物抗蝕材料所組成。
10‧‧‧金屬化層
12、20、26、42、44、46‧‧‧介電層
14‧‧‧硬遮罩或硬遮罩層
16、22、28‧‧‧抗蝕層
18、24、30‧‧‧開口
32‧‧‧阻障/襯墊層
34‧‧‧金屬層
36‧‧‧金屬層的部分
40‧‧‧金屬硬遮罩層
48‧‧‧光阻層或有機抗蝕層
納入並構成本說明書的一部分的附圖示出了本發明所描述的各種實施例,並與本發明的上述的普通說明以及下面的具體實施例中的詳細說明一起,用於解釋本發明的各種實施例。
第1圖至第9圖為根據本發明的一實施例所示的用於形成一互連結構的一工藝方法的連續製造階段的剖視圖。
第10圖至第16圖為根據本發明的一替換實施例所示的用於形成一互連結構的一工藝方法的連續製造階段的剖視圖。
請參考第1圖,根據本發明的一實施例,根據該工藝方法處理一介電層12以形成一金屬化層10的一互連結構(第9圖)。介電層12可形成於一襯底(未予圖示)上,並由例如用於形成一集成電路的一半導體材料所組成,且包括通過前段制程(FEOL)工藝所製作的裝置結構以形成該集成電路。介電層12可以由一電絕緣介電材料構成,例如具有一相對介電常數或介電常數小於二氧化矽(SiO2)的介電常數的一低K介電材料,約為3.9。介電層12的候選低K介電材料可具有小於或等於3.0的一介電常數,且可包括但不限於緻密多孔(dense and porous)有機低K介電質、緻密多孔無機低K介電質、以及這種有機和無機介電質的組合。
一硬遮罩層14形成於介電層12的一頂面上以作為犧牲膜。一抗蝕層16作為一犧牲膜形成於硬遮罩層14上並圖案化以形成開口18。具體而言,抗蝕層16通過旋塗,預烘烤,暴露於通過一光掩模投射的一曝光源的一輻射圖案中,曝光後烘烤,並用化學顯影劑(developer)進行顯影以形成位於介電層12中所形成的對應開口的預定位置處的開口18。一介電層20以及一抗蝕層22相繼形成於抗蝕層16上以作為犧牲膜。部分的介電層20填充抗 蝕層16中的開口18。抗蝕層22可進行烘烤,暴露於通過一光掩模投射的一曝光源的一輻射圖案中,並作為一圖案化工藝的一部分進行顯影以形成開口的一對應圖案,該開口具有位於介電層12中所形成的一對應開口的預設位置處的一開口24。如第1圖所示,抗蝕層22中的開口24可位於相鄰一對開口18之間。
一介電層26以及一抗蝕層28相繼形成於抗蝕層22上以作為犧牲膜。介電層26的一部分填充開口24。抗蝕層28可進行烘烤,暴露於通過一光掩模投射的一曝光源的一輻射圖案中,並作為一圖案化工藝的一部分進行顯影以形成開口的一對應圖案,該開口具有位於介電層12中所形成的一對應開口的一預定位置處的開口30。如第1圖所示,抗蝕層28中的開口30可位於相鄰一對開口18之間,更具體來說,可位於開口24與開口18之間。開口24與30兩者可位於同一相鄰的一對開口18之間。開口18、24、30可通過偏移距離而相互替代以確保後續形成於介電層12中的開口可通過一給定的細間距(fine pitch)而彼此相對設置。
各抗蝕層16、22、28中的開口18、24、30可通過光刻和蝕刻而形成,並位於介電層12中所形成的相應開口的預定位置處。具體而言,各抗蝕層16、22、28可被施加於暴露在通過一光掩模投射的一輻射圖案中,並進行顯影以形成各自的開口18、24、30。介電層20、26可被施加並使用例如一化學機械拋光(chemical mechanical polishing;CMP)工藝進行平坦化。
所有的層14、16、20、22、26、28構成組合使用的犧牲膜或層以圖案化於開口18、24、30垂直重合的介電層12中的開口,其利用蝕刻工藝,選擇性的針對各種不同材料進行移除以將開口18、24、30轉移到介電層12中。本文所使用的關於一材料移除工藝(如蝕刻)的術語“選擇性”是表示對於目標材料的材料移除率(即蝕刻率)高於暴露於該材料移除工藝的至少另一材料的材料移除率(即蝕刻率)。
構成硬遮罩14的材料可為一電絕緣體,例如氮氧化矽(SiON)、二氧化矽(SiO2)或氮化矽(Si3N4),其可通過化學氣相沉積法(chemical vapor deposition;CVD)沉積。構成介電層20、26的材料可由一有機材料層組成,例如一有機平坦化層(organic planarization layer;OPL)或SOH,其可通過一旋塗工藝以及烘烤處理以建立穩定性。
於一實施例中,組成抗蝕層16、22、28的材料可以是一金屬氧化物抗蝕劑,也被稱為一分子有機金屬抗蝕劑,是直接使用一曝光源進行圖案化,例如一極紫外(extreme ultraviolet;EUV)曝光源,以及一光罩,且比有機膜(例如傳統光阻劑)具有一更高選擇性的蝕刻性。金屬氧化物抗蝕劑可包括利用高EUV光密度的金屬中心的分子或有機金屬化合物。一金屬氧化物抗蝕劑可包括例如氧化鋯(ZrO2)或氧化鉿(HfO2)的一無機金屬氧化物核心(core),由例如羧酸或磺酸,和用於促進成像的光活 性化合物所組成的一有機配位體殼(organic ligand shell)。
請參考第2圖,其中相似的元件標號指代第1圖中的相似特徵,於一後續製造階段,介電層26可通過一清洗或蝕刻工藝而被剝離,以使抗蝕層28中的開口30垂直延伸以通過介電層26直至抗蝕層22的頂面。蝕刻工藝的蝕刻化學劑相對於抗蝕層22、28的材料,可選擇性移除介電層26的組成材料。抗蝕層28作為在圖案化介電層26的移除工藝期間的一蝕刻遮罩以形成開口30,且抗蝕層22作為一蝕刻停止層。
請參考第3圖,其中相似的元件標號指代第2圖中的相似特徵,於一後續製造階段,可以使用相對於介電層20、26的組成材料,可選擇性移除抗蝕層22、28的組成材料的一蝕刻化學劑,通過一蝕刻工藝移除抗蝕層28以及蝕刻位於開口30的位置處的抗蝕層22。開口30可通過蝕刻工藝垂直延伸以通過抗蝕層22直至介電層20的頂面。介電層26作為在圖案化抗蝕層22的蝕刻工藝期間一蝕刻遮罩以形成開口30,且介電層20作為一蝕刻停止層。
請參考第4圖,其中相似的元件標號指代第3圖中的相似特徵,於一後續製造階段,介電層26從抗蝕層22剝離,抗蝕層22中的開口24中的介電層26的部分被清除,使用相對於抗蝕層16、22的組成材料,可選擇性移除層20、26的組成介電材料的一蝕刻化學劑,通過一清洗或蝕刻工藝蝕刻位於開口24、30的位置處的介電層20。 開口24、30通過移除工藝垂直延伸以通過介電層20直至抗蝕層16的頂面。抗蝕層22在圖案化介電層20的移除工藝期間作為一蝕刻遮罩以形成開口24、30,且抗蝕層16作為一蝕刻停止層。
請參考第5圖,其中相似的元件標號指代第4圖中的相似特徵,於一後續製造階段,使用相對於介電層20的組成材料,可選擇性移除抗蝕層16、22的組成材料的一蝕刻化學劑,通過一蝕刻工藝移除抗蝕層22並蝕刻開口24、30的位置處的抗蝕層16。開口24、30通過蝕刻工藝垂直延伸以通過抗蝕層16直至硬遮罩層14的頂面。介電層20在圖案化抗蝕層16的蝕刻工藝期間作為一蝕刻遮罩以形成開口24、30,且硬遮罩層14作為一蝕刻停止層。
請參考第6圖,其中相似的元件標號指代第5圖中的相似特徵,於一後續製造階段,介電層20通過一清洗或蝕刻工藝被剝離以清除開口18。接著使用相對於抗蝕層16以及介電層12的材料,可選擇性移除硬遮罩14的組成材料的一蝕刻化學劑,通過一蝕刻工藝蝕刻開口18、24、30的位置處的硬遮罩層14。開口18、24、30通過蝕刻工藝垂直延伸以通過硬遮罩層14直至介電層12的頂面。抗蝕層18在圖案化硬遮罩14的蝕刻工藝期間作為一蝕刻遮罩以形成開口18、24、30,且介電層12作為一蝕刻停止層。
請參考第7圖,其中相似的元件標號指代第 6圖中的相似特徵,於一後續製造階段,使用相對於抗蝕層16和硬遮罩14的材料,可選擇性移除介電層12的組成材料的一蝕刻化學劑,通過一蝕刻工藝蝕刻開口18、24、30的位置處的介電層12。開口18、24、30垂直延伸並穿透介電層12到一給定的深度。抗蝕層16以及硬遮罩層14在圖案化介電層12的蝕刻工藝期間作為一蝕刻遮罩以形成開口18、24、30。用於蝕刻介電層12的蝕刻工藝可包括一濕化學蝕刻工藝或一乾蝕刻工藝,例如反應離子蝕刻(reactive-ion etching;RIE),並可進行定時以提供穿透的給定深度。
由於製造過程中所使用的多重圖案化方法,介電層12中的開口18、24、30呈現具有一細間距的特徵。在初始層疊層中的多個犧牲層中,開口18、24、30以最少數量的介電層20、26而形成,如第1圖所示。
請參考第8圖,其中相似的元件標號指代第7圖中的相似特徵,於一後續製造階段,使用具有一適合的蝕刻化學劑的一乾或濕清洗工藝移除抗蝕層16。如果抗蝕層16由一金屬氧化物抗蝕劑組成,抗蝕層16的移除相較於由例如氮化鈦(TiN)組成的一金屬抗蝕層更為容易。
一給定厚度的一阻障/襯墊層32沉積在介電層12中的開口18、24、30的側壁和基底上,且也被施加於介電層12的頂面上的場域中。阻障/襯墊層32可由鈦(Ti)、氮化鈦(TiN)、鉭(Ta)、氮化鉭(tan),或通過例如一濺射工藝或通過原子層沉積(atomic layer deposition;ALD)的物理氣相沉積(physical vapor deposition;PVD)沉積這些材料所形成的一層疊組合(如一TaN/Ta雙層)。一晶種層(未予圖示)可被形成以覆蓋開口18、24、30內部的阻障/襯墊層32,且還覆蓋場域中的硬遮罩層14以及介電層12的頂面。晶種層(seed layer)可由通過例如一濺射工藝的PVD所沉積的元素銅(Cu)所組成。在沉積晶種層後,可使用一沉積工藝(例如電鍍或其他化學電鍍工藝)而沉積由一低電阻金屬(例如銅Cu)所組成的一較厚的金屬層34。晶種層可能需要攜帶進行一電鍍工藝以形成金屬層34所需的電流。可替換的,金屬層34可通過一無電沉積工藝而沉積,其可允許晶種層被忽略。
請參考第9圖,其中相似的元件標號指代第8圖中的相似特徵,於一後續製造階段,金屬層34、晶種層、阻障/襯墊層32、以及硬遮罩層14通過平坦化(例如一個或多個CMP工藝)從介電層12的頂面上的場域移除。各CMP工藝可以通過使用標準拋光墊和對目標材料具有選擇性的漿料的一商業工具來執行。在平坦化之後,金屬化層10的互連結構包括位於開口18、24、30內部的金屬層34的部分36。這些部分36可以代表作為開口18、24、30的溝槽中的導線,作為開口18、24、30的通孔中的通孔柱塞,或作為開口18、24、30的接觸孔中的接觸件。這些結構中的每一個均可在互連結構中用於耦接一集成電路的裝置,以提供線路連接、及/或與I/O終端之間通信信號。
請參考第10圖,其中相似的元件標號指代第1圖中的相似特徵,根據本發明的一替換實施例,於一工藝方法的一初始製造階段,一金屬硬遮罩層40形成於硬遮罩14上,一介電層42形成於金屬硬遮罩層40上並由對金屬硬遮罩層40的材料具有蝕刻選擇性的一材料所構成。金屬硬遮罩層40由對硬遮罩14的材料具有蝕刻選擇性的一材料所構成。介電層42可通過旋塗施加一有機抗蝕層(未予圖示)進行圖案化,將有機抗蝕層暴露於通過一光掩模投射的一曝光源的一輻射圖案中,並通過一化學顯影劑進行顯影以形成位於介電層12中所形成的對應開口18的預定位置處的開口,然後蝕刻介電層42上呈現的有機抗蝕層。金屬硬遮罩層40可當形成開口18時作為一蝕刻停止層。有機抗蝕層在開口18形成於金屬硬遮罩層40中位於介電層12所形成的對應開口的預定位置處之後予以剝離。
介電層20與抗蝕層22作為犧牲膜而形成,開口24形成於抗蝕層22中位於在介電層12中所形成的一對應開口的一預定位置,介電層20的一部分填充開口18。在形成抗蝕層22之前,一介電層44作為一附加犧牲膜形成於介電層20上,並由對介電層20以及抗蝕層22的材料具有蝕刻選擇性的一材料所構成。如前所述,介電層26作為一犧牲膜形成於抗蝕層22上,以使介電層26的一部分填充開口24。
由對介電層26具有蝕刻選擇性的一材料所構成的一介電層46形成於介電層26上。一光阻層48通過 旋塗,預烘烤,暴露光阻層於通過一光掩模投射的一曝光源的一輻射圖案中,烘烤,以及使用一化學顯影劑進行顯影以形成開口30於介電層12中所形成的一對應開口的一預定位置處,而使光阻層48形成於介電層26上。
於一實施例中,構成金屬抗蝕層40的材料可以是通過PVD或ALD所沉積的氮化鈦(TiN)。構成各介電層44、46的材料可以通過CVD所沉積的矽碳氮化物(SiCN)或矽碳氮氧化合物(SiCON)。介電層42可以由一電絕緣體,例如通過化學氣相沉積所沉積的氮氧化矽(SiON)、二氧化矽(SiO2)或氮化矽(Si3N4)所組成。於一實施例中,介電層42可以由與硬遮罩14相同的介電材料所組成。
請參考第11圖,其中相似的元件標號指代第10圖中的相似特徵,於一後續製造階段,使用一蝕刻化學劑並通過一蝕刻工藝蝕刻在開口30的位置處的介電層46,該蝕刻化學劑相對於有機抗蝕層48的組成材料,選擇性移除介電層46的組成材料。開口30通過蝕刻工藝垂直延伸以通過介電層46直至抗蝕層22的頂面。有機抗蝕層48在圖案化介電層46的蝕刻工藝期間作為一蝕刻遮罩以添加開口30,介電層26作為一蝕刻停止層。有機抗蝕層48可在後續剝離。
使用相對於介電層46的組成材料,選擇性移除介電層26的組成材料的一蝕刻化學劑並通過一清洗或蝕刻工藝以隨後剝離位於開口30的位置處的介電層26。 開口30通過蝕刻工藝垂直延伸以通過介電層26直至抗蝕層22的頂面。介電層46在圖案化介電層26的剝離工藝期間作為一蝕刻遮罩以形成開口30,且抗蝕層22作為一蝕刻停止層。
使用相對於介電層44的組成材料,選擇性移除抗蝕層22的組成材料的一蝕刻化學劑並通過一蝕刻工藝以隨後蝕刻位於開口30的位置處的抗蝕層22。開口30通過蝕刻工藝垂直延伸以通過抗蝕層22直至介電層44的頂面。介電層46在圖案化抗蝕層22的蝕刻工藝期間作為一蝕刻遮罩以形成開口30,且介電層44作為一蝕刻停止層。
請參考第12圖,其中相似的元件標號指代第11圖中的相似特徵,於一後續製造階段,使用一化學蝕刻劑並通過一蝕刻工藝移除介電層46以及位於開口30的位置處的介電層44,該蝕刻化學劑相對於介電層20、26的組成材料,選擇性移除介電層44、46的組成材料。開口30通過蝕刻工藝垂直延伸以通過介電層44直至介電層20的頂面。介電層26在圖案化介電層44的蝕刻工藝期間作為一蝕刻遮罩以形成開口30,且介電層20作為一蝕刻停止層。
請參考第13圖,其中相似的元件標號指代第12圖中的相似特徵,於一後續製造階段,介電層26從抗蝕層22剝離,抗蝕層22中的開口24內的介電層26的部分被清除,使用一蝕刻化學劑並通過一清洗或蝕刻工藝蝕 刻位於開口30的位置處的介電層20,該蝕刻化學劑相對於介電層42、44的組成材料,選擇性移除介電層20、26的組成材料。開口30通過剝離工藝垂直延伸以通過介電層20直至介電層42的頂面。抗蝕層22在圖案化介電層20的剝離工藝期間作為一蝕刻遮罩以形成開口30,且介電層42、44作為蝕刻停止層。
使用相對於介電層20和金屬硬遮罩層40的組成材料,選擇性移除介電層42、44的組成材料的一蝕刻化學劑並通過一蝕刻工藝以蝕刻介電層42、44。開口30通過蝕刻工藝垂直延伸以通過介電層42直至作為一蝕刻停止層的金屬硬遮罩40的頂面。開口24通過蝕刻工藝垂直延伸以通過介電層44直至作為一蝕刻停止層的介電層20的頂面。抗蝕層22在圖案化介電層42的蝕刻工藝期間作為一蝕刻遮罩以形成開口30,並圖案化介電層44以形成開口24。
請參考第14圖,其中相似的元件標號指代第13圖中的相似特徵,於一後續製造階段,使用相對於介電層42的組成材料,選擇性移除介電層20的組成材料的一蝕刻化學劑並通過一清洗或蝕刻工藝以剝離介電層20。開口24通過剝離工藝垂直延伸以通過介電層20直至介電層42的頂面。然而,開口30未延伸至金屬硬遮罩層40的內部。抗蝕層22在圖案化介電層20的剝離工藝期間作為一蝕刻遮罩以形成開口30,且介電層42以及金屬硬遮罩層40作為蝕刻停止層。
而後,使用相對於金屬硬遮罩層40的組成材料,選擇性移除介電層42的組成材料的一蝕刻化學劑通過一蝕刻工藝蝕刻介電層42,以使開口24延伸通過介電層42至金屬硬遮罩層40的頂面。抗蝕層22在圖案化介電層42的蝕刻工藝期間作為一蝕刻遮罩以形成開口24,且金屬硬遮罩層40作為一蝕刻停止層。
在製造過程中的這個點上,開口24、30兩者均延伸至金屬硬遮罩層40的頂面。
請參考第15圖,其中相似的元件標號指代第14圖中的相似特徵,於一後續製造階段,使用適合的蝕刻化學劑通過蝕刻及/或剝離工藝以相繼移除層22、44、20。介電層20的移除清除了介電層42中的開口18。介電層42中的開口18、24、30現在向硬遮罩層14的一頂面開放。
請參考第16圖,其中相似的元件標號指代第15圖中的相似特徵,於一後續製造階段,使用相對於硬遮罩層14的組成材料,選擇性移除金屬硬遮罩層40的組成材料的一蝕刻化學劑並通過一蝕刻工藝蝕刻金屬硬遮罩層40。開口18、24、30通過蝕刻工藝垂直延伸以通過金屬硬遮罩層40直至作為一蝕刻停止層的硬遮罩層14的頂面。然後,使用相對於介電層12的組成材料,選擇性移除硬遮罩層14的組成材料的一蝕刻化學劑並通過一蝕刻工藝蝕刻硬遮罩層14。金屬硬遮罩層40中的開口18、24、30通過蝕刻工藝垂直延伸以通過硬遮罩層14直至作為一蝕刻停止層的介電層12的頂面。
使用相對於金屬硬遮罩層40以及硬遮罩層14的材料,選擇性移除介電層12的組成材料的一蝕刻化學劑並通過一蝕刻工藝而後蝕刻介電層12,以使開口18、24、30垂直延伸至介電層12中的一給定深度。金屬硬遮罩層40以及硬遮罩層14在圖案化介電層12的蝕刻工藝期間作為一蝕刻遮罩以形成開口18、24、30。用於蝕刻介電層12的蝕刻工藝可包括一濕化學蝕刻工藝或一乾蝕刻工藝,例如RIE。此蝕刻工藝可減小金屬硬遮罩層40的厚度。
如上所述,繼續執行如第8、9圖所述工藝以填充開口18、24、30。並非抗蝕層16,而是金屬硬遮罩層40通過一乾清洗或濕清洗被移除。
如上所述的方法用於積體電路晶片的製造。由此產生的積體電路晶片可由製造商以原始晶圓形式分佈(即作為具有多個未封裝晶片的一單晶圓),作為一裸晶(bare die),或以封裝的形式。該晶片可與其他晶片、分立式電路元件、及/或信號處理裝置整合,作為一中間產品或最終產品的一部分。該最終產品可以是任何包含積體電路晶片的產品,例如具有一中央處理器的計算機產品或智慧手機。
本文所提及的術語,如“垂直”、“水平”等,是通過舉例的方式,而非通過限制的方式來建立參照體系的。本文所使用的術語“水平”被定義為與一半導體襯底的一常規平面平行的一平面,而不管其實際的三維空間方向。術語“垂直”以及“正向(normal)”是指垂直 於水平的一方向,正如剛剛所定義的。術語“橫向”是指水平面內的一個方向。諸如“上方”以及“下方”等術語用於表示相對於相對標高的元件或結構之間的相對定位。
一特徵“連接”或“耦接”至另一元件或一特徵與另一元件“連接”或“耦接”可以是直接連接或耦接該另一元件,或者,可以存在一個或多個中間元件。如果沒有中間元件,則一個特徵可以“直接連接”或“直接耦接”另一元件。如果存在至少一中間元件,則一個特徵可“間接連接”或“間接耦接”另一元件。
已經為了說明的目的而呈現了本發明的各種實施例的描述,但並不旨在窮舉或限於所公開的實施例。在不脫離所描述的實施例的範圍和精神的情況下,許多修改和變化對於本領域普通技術人員將是顯而易見的。本文選擇使用的術語是為了最好地解釋實施例的原理,對市場中發現的技術的實際應用或技術改進,或使本領域普通技術人員能夠理解本文公開的實施例。

Claims (20)

  1. 一種形成互連層級的方法,該方法包括:形成一硬遮罩層於一介電層上;形成一第一犧牲層於該硬遮罩層上;形成一第一開口與一第二開口於該第一犧牲層中,並延伸通過該第一犧牲層至該硬遮罩層;形成一第一抗蝕層於該第一犧牲層上;以及形成延伸通過該第一抗蝕層的一第三開口,並且該第三開口橫向位於該第一犧牲層中的該第一開口以及該第一犧牲層中的該第二開口之間,其中,該第一抗蝕層由對該硬遮罩層具有可移除選擇性的一金屬氧化物抗蝕材料所組成。
  2. 如申請專利範圍第1項所述的方法,復包括:形成一第二抗蝕層於該第一抗蝕層上;以及形成延伸通過該第二抗蝕層的一開口,並且該開口橫向位於該第一犧牲層中的該第一開口與該第一抗蝕層中的該第三開口之間。
  3. 如申請專利範圍第2項所述的方法,其中,該第二抗蝕層由金屬氧化物抗蝕材料所組成。
  4. 如申請專利範圍第2項所述的方法,復包括:使該第二抗蝕層中的該開口延伸通過該第一抗蝕層而形成該第一抗蝕層中的一第四開口。
  5. 如申請專利範圍第4項所述的方法,其中,使該第二抗蝕層中的該開口延伸通過該第一抗蝕層包括:移除該第二抗蝕層,其中,在同一蝕刻工藝中當該第二抗蝕層被移除時,該第四開口同時地形成於該第一抗蝕層中。
  6. 如申請專利範圍第5項所述的方法,復包括:於形成該開口在該第二抗蝕層中之前,形成位於該第一抗蝕層以及該第二抗蝕層之間的一第二犧牲層,並包括填充該第一抗蝕層中的該第三開口的一部分;以及於形成該第四開口在該第一抗蝕層中之後,移除該第二抗蝕層,其中,當該第二抗蝕層被移除時,該第二抗蝕層中的該開口延伸通過該第一抗蝕層,且該第二抗蝕層對該第二犧牲層具有可移除選擇性。
  7. 如申請專利範圍第1項所述的方法,其中,形成該第一開口以及該第二開口於該第一犧牲層中並延伸至該硬遮罩層包括:暴露該第一犧牲層於通過一光掩模投射的一曝光源的一輻射圖案中;以及於曝光之後,使用一化學顯影劑進行顯影以形成該第一開口以及該第二開口於該第一犧牲層中。
  8. 如申請專利範圍第7項所述的方法,其中,該第一犧牲層由該金屬氧化物抗蝕材料所組成。
  9. 如申請專利範圍第7項所述的方法,復包括:形成位於該第一犧牲層以及該第一抗蝕層之間的一第二犧牲層,並具有分別填充該第一犧牲層中該第一開口以及該第一犧牲層中該第二開口的部分,其中,該第二犧牲層由對該第一抗蝕層以及該第一犧牲層具有可移除選擇性的一介電材料所組成。
  10. 如申請專利範圍第9項所述的方法,復包括:使該第一抗蝕層中的該第三開口延伸通過該第二犧牲層以形成一第五開口於該第一犧牲層中;以及於形成該第五開口在該第一犧牲層中之後,移除該第一抗蝕層。
  11. 如申請專利範圍第10項所述的方法,復包括:於移除該第一抗蝕層之後,移除該第二犧牲層,並從該第一犧牲層中的該第一開口與該第一犧牲層中的該第二開口移除該第二犧牲層的該部分。
  12. 如申請專利範圍第11項所述的方法,復包括:使該第一犧牲層中的該第一開口、該第二開口以及該第五開口同時延伸通過該硬遮罩層而形成多個第六開口於該硬遮罩層中。
  13. 如申請專利範圍第12項所述的方法,復包括:使該硬遮罩層中的該多個第六開口同時延伸通過該介電層而形成多個第七開口於該介電層中;以及填充一金屬於該介電層中的該多個第七開口以形成一互連結構的導電特徵。
  14. 如申請專利範圍第1項所述的方法,復包括:形成位於該第一犧牲層以及該第一抗蝕層之間的一第二犧牲層,並具有分別填充該第一犧牲層的該第一開口以及該第一犧牲層的該第二開口的部分,其中,該第二犧牲層對該第一抗蝕層以及該第一犧牲層具有可移除選擇性。
  15. 如申請專利範圍第14項所述的方法,復包括:形成位於該第二犧牲層以及該第一抗蝕層之間的一第三犧牲層,其中,該第三犧牲層對該第一抗蝕層以及該第二犧牲層具有可移除選擇性。
  16. 如申請專利範圍第15項所述的方法,復包括:形成一第四開口於該第一抗蝕層中,其中,該第四開口橫向位於該第一犧牲層中的該第一開口與該第一抗蝕層的該第一開口之間。
  17. 如申請專利範圍第16項所述的方法,復包括:使該第一抗蝕層中的該第四開口延伸通過該第三犧牲層以形成一第八開口於該第三犧牲層中;以及使該第三犧牲層中的該第八開口延伸通過該第二犧牲層以形成一第九開口於該第二犧牲層中。
  18. 如申請專利範圍第17項所述的方法,復包括:使該第一抗蝕層中的該第三開口延伸通過該第三犧牲層以形成一第十開口於該第三犧牲層中,並使該第二犧牲層中的該第九開口延伸通過該第一犧牲層以形成一第五開口於該第一犧牲層中。
  19. 如申請專利範圍第18項所述的方法,復包括:使該第三犧牲層中的第十開口延伸通過該第二犧牲層以及該第一犧牲層以形成一第十一開口於該第一犧牲層中;以及移除該第二犧牲層、以及該第二犧牲層分別填充該第一犧牲層中的該第一開口與該第一犧牲層中的該第二開口的該部分。
  20. 如申請專利範圍第19項所述的方法,復包括:使該第一犧牲層中的該第一開口、該第二開口、該第五開口、以及該第十一開口同時延伸至該硬遮罩層內以形成多個第六開口於該硬遮罩層中;使該硬遮罩層中的該多個第六開口同時延伸至該介電層中以形成多個第七開口於該介電層中;以及使用一金屬填充該介電層中的該多個第七開口以形成一互連結構的導電特徵。
TW106116106A 2016-07-20 2017-05-16 用於形成細間距特徵的光刻圖案 TWI655513B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/214,585 US10504774B2 (en) 2016-07-20 2016-07-20 Lithographic patterning to form fine pitch features
US15/214,585 2016-07-20

Publications (2)

Publication Number Publication Date
TW201804258A TW201804258A (zh) 2018-02-01
TWI655513B true TWI655513B (zh) 2019-04-01

Family

ID=60988130

Family Applications (1)

Application Number Title Priority Date Filing Date
TW106116106A TWI655513B (zh) 2016-07-20 2017-05-16 用於形成細間距特徵的光刻圖案

Country Status (3)

Country Link
US (1) US10504774B2 (zh)
CN (1) CN107644834B (zh)
TW (1) TWI655513B (zh)

Families Citing this family (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11307500B2 (en) * 2018-10-30 2022-04-19 Taiwan Semiconductor Manufacturing Company, Ltd. Method for removing photoresistor layer, method of forming a pattern and method of manufacturing a package
CN111640655B (zh) * 2019-03-01 2023-04-25 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11600519B2 (en) * 2019-09-16 2023-03-07 International Business Machines Corporation Skip-via proximity interconnect
EP4118679A4 (en) * 2020-03-10 2023-10-11 Fujifilm Electronic Materials U.S.A., Inc. METAL DEPOSITION PROCESS
US11886116B2 (en) * 2020-05-06 2024-01-30 Inpria Corporation Multiple patterning with organometallic photopatternable layers with intermediate freeze steps
US20220293587A1 (en) * 2021-03-11 2022-09-15 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for reduction of photoresist defect

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9372402B2 (en) * 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
WO2016105350A1 (en) * 2014-12-22 2016-06-30 Intel Corporation Method and structure to contact tight pitch conductive layers with guided vias using alternating hardmasks and encapsulating etchstop liner scheme
US20160190003A1 (en) * 2014-12-29 2016-06-30 Globalfoundries Inc. Reducing defects and improving reliability of beol metal fill

Family Cites Families (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP4055543B2 (ja) * 2002-02-22 2008-03-05 ソニー株式会社 レジスト材料及び微細加工方法
CN100582936C (zh) * 2002-02-22 2010-01-20 索尼公司 抗蚀剂材料和微加工方法
JP2007299947A (ja) * 2006-04-28 2007-11-15 Toshiba Corp 半導体装置の製造方法
US8916337B2 (en) * 2012-02-22 2014-12-23 International Business Machines Corporation Dual hard mask lithography process
US9117822B1 (en) * 2014-04-29 2015-08-25 Globalfoundries Inc. Methods and structures for back end of line integration
US9570341B2 (en) * 2014-05-15 2017-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having air gap structures and method of fabricating thereof

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US9372402B2 (en) * 2013-09-13 2016-06-21 The Research Foundation For The State University Of New York Molecular organometallic resists for EUV
WO2016105350A1 (en) * 2014-12-22 2016-06-30 Intel Corporation Method and structure to contact tight pitch conductive layers with guided vias using alternating hardmasks and encapsulating etchstop liner scheme
US20160190003A1 (en) * 2014-12-29 2016-06-30 Globalfoundries Inc. Reducing defects and improving reliability of beol metal fill

Also Published As

Publication number Publication date
CN107644834B (zh) 2020-12-29
US20180025936A1 (en) 2018-01-25
CN107644834A (zh) 2018-01-30
TW201804258A (zh) 2018-02-01
US10504774B2 (en) 2019-12-10

Similar Documents

Publication Publication Date Title
TWI655513B (zh) 用於形成細間距特徵的光刻圖案
US10763166B2 (en) Self-forming barrier for use in air gap formation
KR101137624B1 (ko) 비아 구조 및 그것을 형성하는 비아에칭 방법
US10636698B2 (en) Skip via structures
CN107993925B (zh) 一种自对准四重图形技术
TWI674634B (zh) 半導體裝置結構的製造方法
TWI636516B (zh) 穿孔及跳過穿孔結構
US20170338146A1 (en) Method for Patterning Interconnects
US11257673B2 (en) Dual spacer metal patterning
TWI734970B (zh) 使用阻擋遮罩所形成之具有心軸切口的多重圖案化
US6767825B1 (en) Etching process for forming damascene structure of the semiconductor
US10497610B2 (en) Dual photoresist approach to lithographic patterning for pitch reduction
TW201732930A (zh) 半導體裝置之形成方法
TWI691039B (zh) 鈷電鍍孔整合架構
TW201813038A (zh) 內犧牲間隔件的互連
US11114338B2 (en) Fully aligned via in ground rule region
TWI677026B (zh) 自對準光刻圖案化
US6511916B1 (en) Method for removing the photoresist layer in the damascene process
CN104112701B (zh) 半导体结构及其制造方法
KR100640966B1 (ko) 반도체 소자의 세정방법
KR100545196B1 (ko) 반도체 소자의 금속 배선 형성 방법
TWI701793B (zh) 電子元件及其製造方法
KR20070064965A (ko) 반도체 소자의 미세 패턴 형성 방법

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees