TWI691039B - 鈷電鍍孔整合架構 - Google Patents

鈷電鍍孔整合架構 Download PDF

Info

Publication number
TWI691039B
TWI691039B TW107115760A TW107115760A TWI691039B TW I691039 B TWI691039 B TW I691039B TW 107115760 A TW107115760 A TW 107115760A TW 107115760 A TW107115760 A TW 107115760A TW I691039 B TWI691039 B TW I691039B
Authority
TW
Taiwan
Prior art keywords
cobalt
wiring
hole
liner
barrier
Prior art date
Application number
TW107115760A
Other languages
English (en)
Other versions
TW201931555A (zh
Inventor
方強
沙法阿特 艾墨德
孫志國
舒傑輝
戴奈希R 寇利
曾偉志
Original Assignee
美商格芯(美國)集成電路科技有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 美商格芯(美國)集成電路科技有限公司 filed Critical 美商格芯(美國)集成電路科技有限公司
Publication of TW201931555A publication Critical patent/TW201931555A/zh
Application granted granted Critical
Publication of TWI691039B publication Critical patent/TWI691039B/zh

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76844Bottomless liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76847Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned within the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/5226Via connections in a multilevel interconnection structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/522Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body
    • H01L23/532Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames including external interconnections consisting of a multilayer structure of conductive and insulating layers inseparably formed on the semiconductor body characterised by the materials
    • H01L23/53204Conductive materials
    • H01L23/53209Conductive materials based on metals, e.g. alloys, metal silicides
    • H01L23/53228Conductive materials based on metals, e.g. alloys, metal silicides the principal metal being copper
    • H01L23/53238Additional layers associated with copper layers, e.g. adhesion, barrier, cladding layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5384Conductive vias through the substrate with or without pins, e.g. buried coaxial conductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L23/00Details of semiconductor or other solid state devices
    • H01L23/52Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames
    • H01L23/538Arrangements for conducting electric current within the device in operation from one component to another, i.e. interconnections, e.g. wires, lead frames the interconnection structure between a plurality of semiconductor chips being formed on, or in, insulating substrates
    • H01L23/5386Geometry or layout of the interconnection structure

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Geometry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)

Abstract

本發明關於半導體結構,特別是關於鈷電鍍孔整合架構及製造方法。結構包含:由鈷材料組成的一通孔結構;以及在通孔結構之上的一佈線結構。佈線結構襯有一阻障襯層及鈷材料,並以導電材料填充。

Description

鈷電鍍孔整合架構
本發明關於半導體結構,特別是關於鈷電鍍孔整合架構及製造方法。
積體電路(IC)裝置一般包含離散電路元件(例如電晶體、電容器及電阻器),其需要互連結構以將離散電路元件電性地耦合或連接至功能性電路。一般中段製程(middle of line,MOL)及後段製程(back end of line,BEOL)金屬互連可包含佈線部分及通孔部分;然而,隨著技術節點尺寸下降,由於關鍵尺寸(CD)的縮放及處理能力,互連結構的製造難度越來越大。
舉例來說,互連結構一般由銅製造,並可包含例如為鈦或鉭的阻障層、或例如為氮化鉭或氮化鈦的氮化物材料、或其組合。利用銅互連結構的問題在於它們非常容易受到電遷移(elecromigration,EM)的影響,這可能導致空隙形成和失效。EM誘發失效的其中一類型稱作「線耗損(line-depletion)」,其從Cu/介電帽介面(cap interface)開始。
再者,隨著技術的進步,填充互連結構本身就會出現問題。舉例來說,傳統的TaN/Ta襯層的沉積及超過10nm節點技術的Cu填充是具有挑戰性的,因為它無法在電鍍之前提供晶種Cu的足夠覆蓋及更寬的頂部開口。為此,問題在於金屬孔填充將影響通孔空隙(via void),並影響晶粒產量和裝置性能。
在本發明的一態樣中,一結構包含:由鈷材料組成的一通孔結構(via structure);以及在通孔結構之上的一佈線結構(wiring structure)。佈線結構襯有一阻障襯層(barrier liner)及鈷材料,並以導電材料填充。
在本發明的一態樣中,一結構包含:一下層佈線結構;與下層佈線結構電性接觸的一通孔結構,通孔結構包含鈷填充材料;以及與通孔結構電性接觸的一上層佈線結構,上層佈線結構襯有至少鈷材料並以導電材料填充。
在本發明的一態樣中,一方法包含:形成一下層佈線結構;形成暴露下層佈線結構的一通孔;形成在通孔上的一佈線溝渠(wiring trench);以鈷填充通孔並以鈷加襯佈線溝渠;以及以導電材料在鈷襯層上填充佈線溝渠。
10‧‧‧結構
10’‧‧‧結構
10”‧‧‧結構
10'''‧‧‧結構
12‧‧‧佈線結構
14‧‧‧介電材料
16‧‧‧阻障襯層
16’‧‧‧阻障襯層
18‧‧‧覆蓋材料
20‧‧‧介電材料
22‧‧‧雙鑲嵌結構
22a‧‧‧通孔
22b‧‧‧佈線溝渠
24‧‧‧佈線溝渠
26‧‧‧鈷材料
28‧‧‧導電材料
在下面的詳細描述中,將透過本發明的範例性具體實施例的非限制性範例、參考所提到的複數個圖式來描述本發明。
圖1顯示根據本發明態樣之佈線結構及雙鑲嵌結構及其他特徵及相應的製造程序;圖2顯示根據本發明態樣之以材料完全地填充的雙鑲嵌結構的一通孔及其他特徵及相應的製造程序;圖3顯示根據本發明態樣之以導電佈線材料填充的雙鑲嵌結構的佈線溝渠及其他特徵及相應的製造程序;圖4顯示根據本發明其他態樣之以材料及導電佈線材料部分地填充的雙鑲嵌結構的一通孔及其他特徵及相應的製造程序;圖5及圖6顯示根據本發明其他態樣之在沉積阻障襯層之前 以材料完全地填充的雙鑲嵌結構的通孔及相應的製造程序;以及圖7顯示根據本發明其他態樣之在沉積阻障襯層之前以材料部分地填充的雙鑲嵌結構的通孔及相應的製造程序。
本發明關於半導體結構,特別是關於鈷電鍍孔整合架構及製造方法。更特別地,本發明關於在雙鑲嵌結構(dual damascene structure)中的部分或完全鈷電鍍孔整合架構。有利地,舉例來說,本發明提供了後段製程(BEOL)技術中的改良(降低)電遷移(EM)失效。
在具體實施例中,本發明提供沿其側壁襯有鈷(Co)的雙鑲嵌結構,其中鈷部分地或完全地填充通孔,其具有或沒有阻障襯層。然而,應理解到,本發明並不受限於雙鑲嵌結構,因此同樣適用於使用單鑲嵌製程製造的通孔及佈線。在具體實施例中,可在銅(Cu)電鍍之前提供鈷電鍍,以形成具有銅佈線結構於其上的鈷通孔。此外,鈷可用以加襯雙鑲嵌結構的佈線結構及通孔。本文所揭露的具體實施例也可包含不同的整合架構,其包含例如在一相同晶片上的部分地或完全地填充的鈷通孔,其每一者具有或不具有阻障襯層或其任何組合。藉由提供鈷通孔,可顯著降低早期的EM誘發失效。
本發明的鈷電鍍架構可使用多種不同的工具、以多種方式來製造。然而,一般而言,使用方法和工具係用以形成尺寸在微米及奈米尺度的結構。用以製造本發明的鈷電鍍架構的方法(即技術)採用自積體電路(IC)技術。舉例來說,結構係建立於晶圓上並實現於晶圓頂部上由光學微影製程所圖案化的材料薄膜中。特別地,鈷電鍍架構的製造使用三個基本的建構部分:(i)在基板上沉積材料的薄膜,(ii)藉由光學微影成像在薄膜頂部施加圖案化光罩,以及(iii)對光罩選擇性地蝕刻薄膜。
圖1顯示根據本發明態樣之佈線結構及雙鑲嵌結構及其他 特徵及相應的製造程序。更特別地,圖1顯示了具有形成在介電材料14中的下層佈線結構12的結構10。在具體實施例中,下層佈線結構12可為由阻障襯層16所組成的銅佈線結構。阻障襯層16可為例如TiN、TaN、Ta及Ti的任何組合;然而,用於金屬佈線結構12的導電材料可為例如藉由傳統化學氣相沉積(CVD)製程所沉積的銅。舉例來說,可藉由電漿增強CVD(PECVD)製程或低壓化學氣相沉積(LPCVD)製程來沉積阻障襯層16。舉例來說,介電材料14可為低k(氧化物)或超低k層間介電材料。
在更特定的具體實施例中,佈線結構12可由熟此技藝者已知的傳統微影、蝕刻和沈積方法來形成。舉例來說,將形成於絕緣體材料(例如介電材料14)上的阻劑暴露於能量(光)以形成圖案(開口)。使用具有選擇性化學作用的蝕刻製程(例如反應性離子蝕刻(reactive ion etching,RIE)),以通過阻劑的開口在介電材料14中形成一或多個溝渠。在移除阻劑之後,可藉由如前述的任何傳統沉積製程來沉積襯層材料16和導電材料12(例如金屬化)。可藉由傳統化學機械拋光(CMP)製程去除介電材料14表面上的任何殘餘材料。
仍參考圖1,在佈線結構12及介電材料14的表面上沉積覆蓋材料(capping material)18。舉例來說,覆蓋材料18可為氮化物材料。在更特定的具體實施例中,覆蓋材料18可為SiN、SiNC或SiOC。上層介電材料20沉積在覆蓋材料18上。舉例來說,上層介電材料20可為任何低k或超低k介電材料,其藉由例如CVD沉積。
使用如本文所述的傳統微影及蝕刻製程在上層介電材料20中形成雙鑲嵌結構22及佈線溝渠24。熟此技藝者應理解,可使用雙鑲嵌製程或單鑲嵌製程來製造雙鑲嵌結構22。在具體實施例中,雙鑲嵌結構由通孔22a(其暴露底下的佈線結構12的表面)和上層佈線溝渠22b(舉例來說,其比通孔22a更寬)所組成。通孔22a的寬度可為約20nm;然而本文也考慮其他尺寸。可使用傳統毯覆式沉積製程(例如PECVD或LPCVD)將通孔22a、佈線 溝渠22b及佈線溝渠24襯以一阻障襯層16'(例如在介電材料20的側壁上並與佈線結構12直接電接觸),其深度約為1nm至3nm。阻障襯層16'可為例如TiN、TaN、Ta和Ti的任何組合。
在圖2中,通孔22a、佈線溝渠22b和佈線溝渠24襯有與阻障襯層16'直接接觸的鈷材料26。在具體實施例中,通孔22a也以鈷材料26完整地(completely)(完全地(fully))填充(與圖4相比,圖4顯示了以鈷材料部分地填充的通孔22a)。可藉由熟此技藝者已知的原子層沉積(atomic layer deposition,ALD)製程(例如晶種層(seed layer))、接著藉由電鍍製程(plating process(例如electroplating process))來沉積鈷材料26。有利地,鈷材料26的沉積製程為由下而上的電鍍製程,其提供了改良的流動能力(相較於銅填充製程),從而消除或避免了通孔22a內可能由於夾止現象而導致的空隙形成。
在具體實施例中,鈷材料26也將在佈線溝渠22b和佈線溝渠24的側壁上(在阻障襯層16'上方)形成側壁襯層。側壁襯層(例如鈷材料26)可直接在阻障襯層16'上沉積至約1nm至約3nm的深度;然而本文也考慮了其他深度。舉例來說,襯層可具有仍允許在隨後的處理步驟中以導電佈線材料(例如銅)填充佈線溝渠22b和佈線溝渠24的任何深度。應理解的是,鈷材料26(及阻障襯層16)也將沉積在上層介電材料20的暴露表面上。
如圖3所示,導電材料28直接沉積在佈線溝渠22b和佈線溝渠24兩者中的鈷材料26(例如側壁襯層和完全填充的通路22a)上。在具體實施例中,導電材料28為使用傳統沉積方法沉積的銅材料,例如沉積晶種層,接著進行電鍍製程。以此方式,通孔22a以鈷完全地填充(避免間隙或空隙形成),其中佈線溝渠22b、24襯有鈷材料26並以導電材料28完全地填充。介電材料20的上表面上的任何多餘的導電材料28、殘留的鈷材料26及阻障襯層材料16’可藉由傳統的CMP製程移除。
圖4顯示了替代結構10”,其中通孔22a以鈷材料26部分地填充。在具體實施例中,通孔22a內的鈷材料26的高度(部分填充)將取決於通 孔22a的尺寸(例如寬度及/或高度)。更特別地,鈷材料26將部分地填充通孔22a至一高度,該高度將避免隨後沉積的導電材料28形成空隙或間隙。部分地填充通孔的材料26的高度也可取決於裝置所需的電性能。通孔22a的剩餘部分和佈線溝渠22b、24將以導電材料填充,如本文已經描述的。圖4所示的其餘特徵與圖3所顯示及所描述的相同或實質相同。
圖5及圖6顯示了在施加阻障層之前以材料26完全填充的雙鑲嵌結構的通孔22a。更特別地,圖5所示的結構10”包含形成於介電材料14中具有阻障襯層16的下層佈線結構12。覆蓋材料18形成在佈線結構12和介電材料14的表面上。使用傳統的微影及蝕刻製程形成雙鑲嵌結構22(例如通孔22a及佈線溝渠22b)及佈線溝渠24於上層介電材料20中,如本文所述。
在具體實施例中,雙鑲嵌結構22(例如通孔22a和佈線溝渠22b)和佈線溝渠24襯有材料(例如鈷材料26)。在具體實施例中,通孔22a也以鈷材料完全地填充(相較於圖7,其顯示了以鈷材料部分地填充的通孔22a)。在具體實施例中,藉由使用ALD製程沉積鈷材料26的晶種層,接著進行電鍍製程(plating process(例如electroplating process)),將鈷材料沉積在通孔22a中。鈷材料26也將在佈線溝渠22b和佈線溝渠24的側壁上形成側壁襯層。側壁襯層(例如鈷材料26)可沉積至約1nm至約3nm的深度、或允許在隨後的製程步驟中以導電材料填充佈線溝渠22b和佈線溝渠24的其他深度。
在圖6中,阻障襯層16'直接沉積在鈷材料26上。阻障襯層16'可藉由傳統的毯覆式沉積製程(例如PECVD或LPCVD)沉積至約1nm至3nm的深度。阻障襯層16'可為例如TiN、TaN、Ta和Ti的任何組合。在沉積阻障襯層16'之後,導電材料28直接沉積在阻障襯層16'上,例如在鈷材料26上的佈線溝渠22b及佈線溝渠24中。在具體實施例中,導電材料28為銅材料,其使用傳統的沉積方法沉積,例如晶種層並接著進行電鍍製程。介電材料20的上表面上的任何多餘的導電材料28、殘留的鈷材料26及阻障襯層材料16'可藉由傳統的CMP製程來移除。所得到的結構為雙鑲嵌結構,其包含以鈷 材料26完全填充的通孔22a、以及襯有鈷材料26並填充有導電材料28的佈線溝渠22b、24。
圖7顯示了在施加阻障層之前具有以鈷材料26部分地填充的雙鑲嵌結構22的通孔22a的結構10'''。更特別地,且類似於關於圖4所作的描述,鈷材料26將部分地填充通孔22a至一高度,該高度將避免隨後沉積的導電材料28形成空隙。部分填充通孔22a的材料26的高度也可取決於裝置所需的電性能。通孔22a的剩餘部分和佈線溝渠22b、24將襯有阻障襯層16'並填充有導電材料28,如本文已作的描述。
上述方法用於積體電路晶片的製造。所產生的積體電路晶片可由製造者以原始晶片的形式(即作為具有多個未封裝晶片的單晶圓)作為裸晶粒分送、或以封裝形式分送。在後者情況中,晶片安裝於單一晶片封裝(例如塑料載體,具有固定至主機板或其他更高階載體的引線)中或安裝於多晶片封裝(如具有表面內連線或埋層內連線的其中一或兩者的陶瓷載體)中。在任何情況下,晶片接著與其他晶片、離散電路元件及/或其他信號處理裝置整合為(a)中間產品(例如主機板)、或(b)終端產品的部分。終端產品可為包含積體電路晶片的任何產品,其範圍從玩具到其他低端應用到具有顯示器、鍵盤或其他輸入裝置、及中央處理器的高級電腦產品。
已出於說明目的提出本發明的各種具體實施例的描述,但其並不意圖為詳盡的或受限於所揭露的具體實施例。在不偏離所述具體實施例的範疇及精神下,許多修改及變化對熟此技藝者而言是明顯的。本文所使用的術語係選擇以最佳地解釋具體實施例的原理、實際應用或針對市場上所發現技術的技術改良、或使其他熟此技藝者能夠理解本文所揭露的具體實施例。
12‧‧‧佈線結構
14‧‧‧介電材料
16‧‧‧阻障襯層
16’‧‧‧阻障襯層
18‧‧‧覆蓋材料
20‧‧‧介電材料
22a‧‧‧通孔
22b‧‧‧佈線溝渠
24‧‧‧佈線溝渠
26‧‧‧鈷材料
28‧‧‧導電材料

Claims (13)

  1. 一種半導體結構,包含:一通孔結構,由鈷組成;以及一佈線結構,在該通孔結構之上,該佈線結構在其多個側壁上襯有一阻障襯層及該鈷,並在該鈷與該阻障襯層之上更以導電材料組成,其中該導電材料不同於該鈷,且該阻障襯層是在該鈷之上使得在該佈線結構與該通孔結構兩者中該阻障襯層將該鈷與該導電材料分離。
  2. 如申請專利範圍第1項所述的結構,其中該通孔結構完全由該鈷組成,且該阻障襯層是在該通孔結構之上。
  3. 如申請專利範圍第1項所述的結構,其中該通孔結構部分地由該鈷組成,且該通孔結構的一剩餘部分由該導電材料與該阻障襯層組成。
  4. 如申請專利範圍第1項所述的結構,其中該通孔結構完全由該鈷組成,該鈷為該佈線結構的一襯層,且該阻障襯層在該通孔結構的該鈷的一上表面上及在該佈線結構的該鈷襯層上。
  5. 如申請專利範圍第1項所述的結構,其中該通孔結構部分地由該鈷組成,該鈷為該佈線結構的一襯層,且該阻障襯層部分地在該通孔結構中及在該佈線結構中的該鈷的一表面上。
  6. 如申請專利範圍第5項所述的結構,其中該導電材料為銅且位在該通孔結構及該佈線結構中的該阻障襯層之上。
  7. 一種半導體結構,包含:一下層佈線結構;一通孔結構,與該下層佈線結構電性接觸,該通孔結構由鈷組成;以及一上層佈線結構,與該通孔結構電性接觸,該上層佈線結構在其多個側壁上襯有至少該鈷與一阻障層,並以導電材料填充,該阻障層在該鈷上且延伸於該通孔結構之上,其中該導電材料不同於該鈷。
  8. 如申請專利範圍第7項所述的結構,其中該通孔結構以該鈷完全地填充。
  9. 如申請專利範圍第7項所述的結構,其中該通孔結構部分地由該鈷組成,且該導電材料填充該通孔結構的一剩餘部分。
  10. 如申請專利範圍第7項所述的結構,其中該通孔結構完全由該鈷組成,該鈷為該上層佈線結構的一襯層,且該阻障襯層在該通孔結構中的該鈷的一上表面上及在該上層佈線結構的該鈷襯層上。
  11. 如申請專利範圍第7項所述的結構,其中該通孔結構部分地由該鈷組成,該鈷為該上層佈線結構的一襯層,且該阻障襯層部分地在該通孔結構中及在該上層佈線結構中的該鈷的一表面上。
  12. 一種製造一半導體結構的方法,包含:形成一下層佈線結構;形成暴露該下層佈線結構的一通孔;形成在該通孔上的一佈線溝渠; 在一相同沉積製程中以鈷填充該通孔並以該鈷加襯該佈線溝渠的多個側壁;以一阻障襯層加襯該鈷,使得在該佈線結構與該通孔結構兩者中該阻障襯層將該鈷與導電材料分離,該阻障襯層也延伸於該通孔之上以將該通孔中的該鈷與該佈線溝渠中的該導電材料分離;以及以該導電材料在該鈷襯層之上填充該佈線溝渠,其中該導電材料不同於該鈷。
  13. 如申請專利範圍第12項所述的方法,其中該鈷部分地填充該通孔且該導電材料填充該通孔的一剩餘部分及該佈線溝渠。
TW107115760A 2018-01-02 2018-05-09 鈷電鍍孔整合架構 TWI691039B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
US15/860,318 US10340183B1 (en) 2018-01-02 2018-01-02 Cobalt plated via integration scheme
US15/860,318 2018-01-02

Publications (2)

Publication Number Publication Date
TW201931555A TW201931555A (zh) 2019-08-01
TWI691039B true TWI691039B (zh) 2020-04-11

Family

ID=66816999

Family Applications (1)

Application Number Title Priority Date Filing Date
TW107115760A TWI691039B (zh) 2018-01-02 2018-05-09 鈷電鍍孔整合架構

Country Status (4)

Country Link
US (1) US10340183B1 (zh)
CN (1) CN109994450B (zh)
DE (1) DE102018206436B4 (zh)
TW (1) TWI691039B (zh)

Families Citing this family (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11380581B2 (en) * 2018-11-09 2022-07-05 Globalfoundries U.S. Inc. Interconnect structures of semiconductor devices having a via structure through an upper conductive line
US11430735B2 (en) * 2020-02-14 2022-08-30 International Business Machines Corporation Barrier removal for conductor in top via integration scheme
KR20230106828A (ko) * 2022-01-07 2023-07-14 삼성전자주식회사 반도체 장치 및 이의 제조 방법

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201533845A (zh) * 2013-12-20 2015-09-01 Intel Corp 基於鈷的互連及其製造方法

Family Cites Families (14)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6194315B1 (en) 1999-04-16 2001-02-27 Micron Technology, Inc. Electrochemical cobalt silicide liner for metal contact fills and damascene processes
US6977224B2 (en) 2000-12-28 2005-12-20 Intel Corporation Method of electroless introduction of interconnect structures
US20060188659A1 (en) 2005-02-23 2006-08-24 Enthone Inc. Cobalt self-initiated electroless via fill for stacked memory cells
US7544609B2 (en) * 2007-02-09 2009-06-09 International Business Machines Corporation Method for integrating liner formation in back end of line processing
US9514983B2 (en) 2012-12-28 2016-12-06 Intel Corporation Cobalt based interconnects and methods of fabrication thereof
US9312203B2 (en) * 2013-01-02 2016-04-12 Globalfoundries Inc. Dual damascene structure with liner
US9610728B2 (en) * 2014-07-18 2017-04-04 The Boeing Company Apparatus and methods for bonding laminate structures
KR102264160B1 (ko) * 2014-12-03 2021-06-11 삼성전자주식회사 비아 구조체 및 배선 구조체를 갖는 반도체 소자 제조 방법
US10727122B2 (en) * 2014-12-08 2020-07-28 International Business Machines Corporation Self-aligned via interconnect structures
US9793212B2 (en) * 2015-04-16 2017-10-17 Taiwan Semiconductor Manufacturing Company, Ltd. Interconnect structures and methods of forming same
US9472502B1 (en) * 2015-07-14 2016-10-18 Taiwan Semiconductor Manufacturing Co., Ltd. Cobalt interconnect techniques
US9735051B2 (en) * 2015-12-14 2017-08-15 International Business Machines Corporation Semiconductor device interconnect structures formed by metal reflow process
US9824970B1 (en) * 2016-06-27 2017-11-21 Globalfoundries Inc. Methods that use at least a dual damascene process and, optionally, a single damascene process to form interconnects with hybrid metallization and the resulting structures
US9805972B1 (en) * 2017-02-20 2017-10-31 Globalfoundries Inc. Skip via structures

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201533845A (zh) * 2013-12-20 2015-09-01 Intel Corp 基於鈷的互連及其製造方法

Also Published As

Publication number Publication date
CN109994450B (zh) 2023-08-15
DE102018206436A1 (de) 2019-07-04
US10340183B1 (en) 2019-07-02
US20190206729A1 (en) 2019-07-04
TW201931555A (zh) 2019-08-01
DE102018206436B4 (de) 2020-10-01
CN109994450A (zh) 2019-07-09

Similar Documents

Publication Publication Date Title
US9978708B2 (en) Wafer backside interconnect structure connected to TSVs
JP6333284B2 (ja) グラフェンおよび金属相互接続
US9613861B2 (en) Damascene wires with top via structures
TWI663700B (zh) 跳通孔結構
CN108461477B (zh) 用于超(跳跃)通孔整合的金属互连
US10636698B2 (en) Skip via structures
TWI718268B (zh) 半導體結構的製造方法
US6806579B2 (en) Robust via structure and method
TWI691039B (zh) 鈷電鍍孔整合架構
US20200006129A1 (en) Interconnect structure and method of forming the same
TW201931444A (zh) 中段製程自對準直接圖案接觸窗
TWI707401B (zh) 基本原則區域中完全對準介層窗
TWI729643B (zh) 密距配線和電容器
KR20230098237A (ko) 자기-정렬된 상단 비아
KR100579856B1 (ko) 반도체 소자의 금속 배선 형성 방법
KR100678008B1 (ko) 반도체 소자의 금속 배선 형성 방법
CN106340488A (zh) 铜互连结构的制备方法