TWI600785B - 沉積製程同步化之方法及設備 - Google Patents

沉積製程同步化之方法及設備 Download PDF

Info

Publication number
TWI600785B
TWI600785B TW102126141A TW102126141A TWI600785B TW I600785 B TWI600785 B TW I600785B TW 102126141 A TW102126141 A TW 102126141A TW 102126141 A TW102126141 A TW 102126141A TW I600785 B TWI600785 B TW I600785B
Authority
TW
Taiwan
Prior art keywords
devices
chamber
substrate
process control
controller
Prior art date
Application number
TW102126141A
Other languages
English (en)
Other versions
TW201406982A (zh
Inventor
梁溫壽
米勒凱斯A
強森卡羅
萊克馬丁李
徐瞱
Original Assignee
應用材料股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 應用材料股份有限公司 filed Critical 應用材料股份有限公司
Publication of TW201406982A publication Critical patent/TW201406982A/zh
Application granted granted Critical
Publication of TWI600785B publication Critical patent/TWI600785B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)

Description

沉積製程同步化之方法及設備
本發明之實施例大體而言係關於在物理氣相沉積製程的過程中控制處理條件。
積體電路已經發展成可以在單一晶片上包括數以百萬計的組件(例如電晶體、電容器及電阻器)的複雜元件。晶片設計的演變不斷地要求更快的電路和更高的電路密度。更高電路密度的要求必須要減小積體電路組件的尺寸。由於尺寸減小,積體晶片基板的處理變得越來越有挑戰性。
例如,在傳統的基板處理中,在將導電材料填充於特徵之前,先將薄的材料層施加於基板特徵的內表面。在理想的情況下,該薄層在整個特徵上會是一致的,同時最小化懸垂(在特徵開口表面上的多餘材料),懸垂會縮小特徵開口的大小,或完全封閉該開口(不理想地留下被困在特徵內的氣隙或孔洞)。由於積體電路組件的尺寸減小,特徵高度對比特徵寬度的深寬比於是提高,進一步加劇了一致地沉積薄層的挑戰。
一般用於製造具有此種高深寬比特徵的積體電路的典型製程包括在特徵的底部沉積材料以及再濺射材料,以便利從特徵底部到側壁的重新分配。此舉是使用導向基板的高能離子來完成的。不幸的是,此方法可能會導致下面的層和基板本身損壞,尤其是在角落或斜角、以及特徵的底部。此種損壞造成線電阻明顯增加以及可靠性衰退。此外,典型製程的不利結果包括懸垂堆積,懸垂堆積可能會使特徵封閉,並且在特徵的幾何形狀更小時(例如在更高的深寬比時)影響變得更為普遍。
此外,發明人已經觀察到,試圖藉由控制直流、射頻功率和電磁電流而改變離子密度和能量來解決上述問題由於電源相關的訊號處理延遲造成了整個晶圓以及晶圓與晶圓間的膜厚度變化。
因此,本發明人提供了用以於高深寬比的特徵之內表面形成薄材料層的改良方法。
本文中提供處理基板的方法及設備。在一些實施例中,在處理腔室中處理基板的方法,在處理腔室中處理基板的方法及設備,包括由同步控制器從製程控制器接收用於一或多個裝置的製程控制參數,以進行第一腔室製程;由該同步控制器決定發送每個該製程控制參數到該一或多個裝置的時間;對於該一或多個裝置中的每個,由該同步控制器使用與該一或多個裝置中的每個相關聯的特定訊號處理延遲來調整所決定的發送每個該製程控制參數的時間;及由該同步控 制器在調整後的時間發送該製程控制參數到該一或多個裝置中的每個,以進行該第一腔室製程,其中該同步控制器包括一或多個輸出通道,每個通道直接耦接至該一或多個裝置中之一者。
在一些實施例中,一種基板處理系統,包括同步控制器,該同步控制器具有一或多個輸入通道及一或多個輸出通道,該一或多個輸入通道用以從製程控制器接收一或多個裝置之製程控制參數,每個輸出通道直接耦接至該一或多個裝置中之一者,其中該同步控制器設以(a)接收該製程控制參數,及(b)發送該製程控制參數到該一或多個裝置,使得該一或多個裝置中的每個大致上在相同的時間接收到該等製程控制參數,以進行第一腔室製程。
在一些實施例中,一種在具有一或多個特徵的基板上形成層的方法,包括在第一層上使用第一能量製程體系進行第一基板製程,而以第一材料建造該一或多個特徵之底部部分;及在該第一層上使用第二能量製程體系進行第二基板製程,以將該第一材料從該一或多個特徵之該底部部分再分配至該一或多個特徵之側壁,其中該第二能量製程體系係高於該第一能量製程體系。
100‧‧‧方法
102‧‧‧步驟
104‧‧‧步驟
106‧‧‧步驟
108‧‧‧步驟
110‧‧‧步驟
112‧‧‧步驟
200‧‧‧基板
202‧‧‧介電層
204‧‧‧導電特徵
206‧‧‧整體介電層
208‧‧‧阻障層
210‧‧‧種晶層
212‧‧‧開口
214‧‧‧側壁
216‧‧‧底部表面
218‧‧‧上角
222‧‧‧導電材料
226‧‧‧上部
228‧‧‧上部
230‧‧‧特徵
232‧‧‧側壁
300‧‧‧處理腔室
302‧‧‧蓋環
304‧‧‧RF線圈
306‧‧‧突出部
308‧‧‧RF電源
310‧‧‧準直器
312‧‧‧內管狀區段
314‧‧‧內管狀區段
316‧‧‧外管狀區段
318‧‧‧橫桿
320‧‧‧橫桿
322‧‧‧同步控制器
324‧‧‧中央處理單元
326‧‧‧記憶體
328‧‧‧支持電路
330‧‧‧製程控制器
332‧‧‧中央處理單元
334‧‧‧記憶體
336‧‧‧支持電路
340‧‧‧中央區域
342‧‧‧靶材
344‧‧‧適配器
346‧‧‧介電隔離器
348‧‧‧直流電源
350‧‧‧外殼壁
352‧‧‧基板支撐台座
354‧‧‧磁鐵
356‧‧‧RF電源
358‧‧‧波紋管
360‧‧‧底部腔室壁
362‧‧‧氣源
364‧‧‧質量流量控制 器
366‧‧‧閥
368‧‧‧排氣口
370‧‧‧磁控管
372‧‧‧磁鐵
374‧‧‧基部板材
376‧‧‧旋轉軸
380‧‧‧底部屏蔽
384‧‧‧凸緣
386‧‧‧暗空間屏蔽
396‧‧‧下管狀部
398‧‧‧U形部分
402‧‧‧製程控制器
404‧‧‧裝置機架
406‧‧‧裝置
408‧‧‧腔室
410‧‧‧共享網路
500‧‧‧控制系統
502‧‧‧製程控制器
504‧‧‧同步控制器
5061-N‧‧‧裝置
508‧‧‧腔室
510‧‧‧連結
5121-N‧‧‧輸出通道
600‧‧‧方法
602‧‧‧步驟
604‧‧‧步驟
606‧‧‧步驟
608‧‧‧步驟
610‧‧‧步驟
可以藉由參照附圖中繪示的本發明之說明性實施例來了解以上簡單概述的和以下更加詳細討論的本發明之實施例。然而應注意的是,附圖說明的只是本發明的典型實施例,因而不應將附圖說明視為是對本發明範圍作限制,因本發明 可認可其他同等有效的實施例。
第1圖依據本發明的一些實施例繪示用於處理半導體基板的方法。
第2A-2F圖為依據本發明的一些實施例在處理程序的不同階段期間基板的說明性剖視圖。
第3圖依據本發明的一些實施例繪示適用於處理半導體基板的設備。
第4A圖為在基板處理中用於控制支持系統的傳統控制系統之示意圖。
第4B圖為圖示與在基板處理中用於控制支持系統的傳統控制系統相關聯的示例性訊號延遲之圖。
第5圖為依據本發明的一些實施例包括獨立的同步控制器的示例性控制系統之示意圖。
第6圖依據本發明的一些實施例繪示同步控制與處理半導體基板相關聯的支持系統之方法。
為了便於瞭解,已經在可能之處使用相同的元件符號來表示圖式共有的相同元件。圖式並未依比例繪製,並且可以為了清晰而簡化圖式。構思的是,可以受益地將一個實施例的元件和特徵併入其他實施例中而不需進一步描述。
在離子化物理氣相沉積(PVD)的銅製程中,金屬離子被從靶材源材料加速並沉積進入形成於基板上的通孔和溝槽結構(即特徵)。本發明人已經發現的是,藉由改變離子密度和能量,可以藉由調整離子/中性金屬比、軌跡以及濺射 產率來訂製特徵的階梯覆蓋(例如沉積在水平表面上的材料厚度與沉積在垂直表面上的材料厚度相比)。典型的PVD銅沉積製程係在高金屬離子比率體系中以不同的離子能量操作。藉由改變進入離子的能量得以實現獨特的製程體系。在中離子能量的製程體系中,觀察到高的底部沉積處理並且在基板上有最少的再濺射。在更高的能量製程體系中,離子可能會物理性蝕刻基板。本發明人已經發現的是,在多步驟的製程中結合中能量和高能量製程對於銅離子回流或電化學沉積可以實現良好的階梯覆蓋或是電鍍(ECP)縫隙填充,同時盡量減少或防止基板或特徵損壞。
此外,本發明人還觀察到,藉由同步製程參數(例如磁控管的位置、電磁電流、直流和射頻功率)的發送,可以實現沉積性能(階梯覆蓋、均勻性)的改良、製程結果的可重複性以及硬體組件的可靠性。藉由使用單獨的可程式化邏輯控制器來同步製程參數的發送,可以大為減少例如用於控制電源的時間延遲。具體而言,在實施例中,DC和RF電源響應時間的同步已經被從例如300毫秒的延遲改良到30毫秒的延遲。本發明人還觀察到,藉由同步直流和射頻(RF)電源的響應時間,基板晶圓邊緣的均勻性已經得到了改善,例如從7%改善至2.5%。此外,在至少一些實施例中,晶圓與晶圓之間的重複性也得到類似幅度的改善。此外,藉由同步製程參數到製程裝置的發送,可以藉由更精確地控制某些裝置何時打開和關閉來防止處理腔室內產生電弧。
第1圖依據本發明的一些實施例繪示用於處理基板 的方法100。第2A-2F圖為在第1圖中描述的方法之不同階段期間基板的說明性剖視圖。可以以任何具有直流和射頻(RF)功率源的適當基板處理腔室執行方法100,例如下文所描述和第3圖中繪示的處理腔室300。
方法100開始於步驟102,其中提供具有特徵的基板200,該特徵例如形成在基板200中的開口212,如第2A圖所繪示。基板200可以是任何適當的基板,例如矽基板、III-V族化合物基板、矽鍺(SiGe)基板、磊晶基板、絕緣體上矽(SOI)基板、顯示器基板(例如液晶顯示器(LCD)、電漿顯示器、電致發光(EL)發射器顯示器)、發光二極體(LED)基板、太陽能電池陣列、太陽能面板或類似者。在一些實施例中,基板200可以是半導體晶圓(例如200毫米、300毫米、450毫米或類似的矽晶圓)。
在一些實施例中,基板200可以包含一或多個層,例如形成於介電層202上的整體介電層206,如第2A圖所繪示。可以將導電特徵204形成在介電層202的上區域中,使得導電特徵204的上表面可以藉由形成在整體介電層206中的開口212而曝露。舉例來說,可以進行通孔/溝槽蝕刻製程而在整體介電層206中界定開口212,從而曝露出導電特徵204的上表面。導電特徵204可以由任何適當的導電材料製作。例如,對於銅內連線,導電特徵204可以是嵌入介電層202的銅層。在一些實施例中,導電特徵204可以由諸如銅、鋁、鎢或類似者、上述金屬之合金或上述金屬之組合的金屬所製成。
整體介電層206和介電層202可以由相同或不同的介電材料製作。在一些實施例中,該等介電材料可以包含氧化矽(SiO2)、氮化矽(SiN)、低介電常數(k)材料或類似者。該低k材料可以是摻雜碳的介電材料(例如摻雜碳的氧化矽(SiOC)、可向加州聖克拉拉應用材料公司(Applied Materials,Inc.of Santa Clara,California)或類似者取得的BLACK DIAMOND®介電材料)、有機聚合物(例如聚醯亞胺、聚對二甲苯或類似者)、摻雜有機物的矽玻璃(OSG)、摻雜氟的矽玻璃(FSG)或類似者。如本文所使用的,低k材料為介電常數約比氧化矽的介電常數(約3.9)低的材料。
開口212通常是由一或多個側壁214、底部表面216以及上角(斜面)218所界定。開口212可以是任何適用於基板製造的特徵,例如通孔、溝槽、雙波紋特徵或類似者,並且可以由任何適當的製程(例如蝕刻)形成。儘管只圖示出一個開口212,但依據本文所揭示的教導可以同時處理多個特徵。開口212通常可以具有任何的尺寸。例如,在一些實施例中,開口212可以具有特徵高度對特徵寬度至少為約2:1的比率。在一些實施例中,開口212可以是高深寬比的特徵。在該等實施例中,開口212可以具有特徵高度對特徵寬度至少為約4:1的比率。在一些實施例中,開口212可以具有約5至約50nm的寬度。
雖然基板200被描繪為具有形成於介電層202上的整體介電層206,但基板200也可以包括不同的及/或另外的材料層。此外,可以在不同的及/或另外的材料層中形成其他 的特徵,例如溝槽、通孔或類似者。
接著,在步驟104,可以選擇性地在基板200頂上沉積阻障層208。當存在阻障層208時,阻障層208可以用來作為基板和隨後被沉積在開口中的層之間的電及/或物理阻障,及/或可以在下面討論的沉積製程過程中發揮作為比基板的原有表面更好的附著表面的功能。阻障層208可以包含任何適用於進行上面討論的功能的材料。例如,在一些實施例中,阻障層208可以包含鈦(Ti)、鉭(Ta)、鈦和鉭之氧化物或氮化物或類似者中之一或多者。阻障層208可以被沉積到任何適當的厚度,例如約0.5至約10nm。
阻障層208可以藉由任何適當的方法沉積,例如化學氣相沉積(CVD)、物理氣相沉積(PVD)或類似者。例如,在一些實施例中,可以藉由PVD製程在適當的處理腔室中沉積阻障層208,例如下面關於第3圖描述的處理腔室300。在該等實施例中,處理腔室可以具有設置在其中的靶材(例如靶材342),靶材342包含將被沉積在基板200頂上的源材料。例如,在阻障層包含氮化鉭(TaNx)的實施例中,靶材可以包含鉭(Ta)。
在一些實施例中,沉積阻障層208可以包括提供製程氣體到處理腔室並由該製程氣體形成電漿而與來自靶材的源材料反應。該反應導致靶材噴射出靶材的原子,然後該等原子被導向基板200。在一些實施例中,該製程氣體可以包含惰性氣體,例如氬(Ar)、氦(He)、氪(Kr)、氖(Ne)、氙(Xe)或類似者。可以以介於約2至約200sccm之間的流動 速率提供製程氣體。在一些實施例中,可以將約5至約40千瓦(kW)的直流功率施加到靶材,以點燃製程氣體並維持電漿。
在一些實施例中,為了便於將從靶材噴射出的原子朝向基板200引導,可以將RF功率形式的偏壓功率施加到支撐基板200的基板支撐台座(例如下面討論的基板支撐台座352)。在該等實施例中,可以在介於2至約60兆赫(MHz)之間或約13.56MHz的頻率供應約50至約2000瓦的RF功率。
除了上述情況之外,可以使用另外的製程參數來促進阻障層208沉積。例如,在一些實施例中,可以將處理腔室保持在約0.2至約50毫托的壓力下。此外,在一些實施例中,可以將處理腔室保持在約-20攝氏度至約400攝氏度的溫度。
接著,在步驟106,可以在開口212內沉積初始種晶層210(即第一材料層),如第2C圖所繪示。種晶層210提供更好的附著表面,並且可以作為後續沉積材料的模板,例如下面討論的導電材料。種晶層210可以包含任何適合提供上述功能的材料。例如,在一些實施例中,種晶層可以包含銅(Cu)、釕(Ru)、鈷(Co)或類似者以及上述金屬之合金例如銅-鋁(Cu-Al)、銅-錳(Cu-Mn)、銅-鎂(Cu-Mg)或類似者中之一者。
為了形成初始種晶層210(例如第一材料層),在一些實施例中,也可以使用多步驟沉積及/或蝕刻製程。首先,在步驟108,可以在基板200上進行第一腔室製程,以形成種 晶層210。在一些實施例中,可以使用低到中等能量製程體系在開口212內(並在基板200的頂上)沉積初始種晶層210,如第2C圖所繪示。可以藉由任何適用於形成具有所需輪廓的種晶層的沉積製程來沉積種晶層210,該沉積製程例如PVD、CVD或類似者。例如,在一些實施例中,可以經由PVD製程在適當的處理腔室中沉積種晶層210,該處理腔室例如下面關於第3圖描述的處理腔室300。在該等實施例中,該處理腔室可以具有設置在其中的靶材(例如靶材342),靶材342包含將被沉積在基板200頂上的源材料。例如,在種晶層210包含銅(Cu)的實施例中,該靶材可以包含銅(Cu)源材料。
在一些實施例中,沉積種晶層210可以包括提供製程氣體到處理腔室中,以從該靶材物理濺射源材料,例如使靶材噴射靶材的原子,然後再將該等原子導向基板200。在一些實施例中,製程氣體可以包含惰性氣體,例如氬(Ar)、氦(He)、氪(Kr)、氖(Ne)、氙(Xe)或類似者。也可以以約4至約為300sccm之間的流動速率或者在某些實施例中以約4sccm的流動速率提供製程氣體。在一些實施例中,可以從製程氣體形成電漿,以便於從靶材濺射源材料。在該等實施例中,可以將約5kW至約60kW的直流功率或在一些實施例中將約30kW的直流功率施加到靶材,以點燃製程氣體並保持電漿。可以將介於-300v至-1400v之間的靶材電壓(即濺射電壓)施加到靶材,以誘發濺射。在一些實施例中,將-750v的示例性靶材電壓施加到靶材上。藉由使用低等/中等直流能量製程體系來濺射靶材並結合施加RF偏壓能量,靶材源材料 離子(例如銅離子)以幾乎垂直的軌跡進入基板的特徵(例如通孔及/或溝槽)。由於靶材源材料離子的能量低,特徵230的底部部分積累不再濺射到基板的其他部分的靶材源材料離子。
在一些實施例中,為了便於將從靶材噴射出的原子導向基板200,可以將RF功率形式的偏壓功率施加到支撐基板200的基板支撐台座(例如基板支撐台座352)。在該等實施例中,可以以介於2MHz至約60MHz之間或約13.56MHz的頻率供應約50瓦至約2000瓦的RF功率或在一些實施例中約120瓦的RF功率。此外,在一些實施例中,可以施加介於+150v至-750v之間的基板台座電壓。在示例性的多步驟沉積/蝕刻製程中,可以施加-120v至-240v並回到-50v的基板台座電壓。
除了上述情況之外,可以使用另外的製程參數來促進種晶層210沉積。例如,在一些實施例中,可以將處理腔室保持在約0.1至約50毫托的壓力下。此外,在一些實施例中,可以將處理腔室保持在約20至約200攝氏度的溫度。
在一些實施例中,本發明人已經觀察到,當如上關於步驟108所述藉由低等/中等能量沉積製程沉積種晶層210以建立底部基板特徵230時,種晶層材料可能會在開口212的上角218附近積累,如第2C圖所圖示。在傳統的處理中,種晶層材料的積累可能會部分或完全地封閉開口212並形成孔洞。
因此,在步驟110,可以在基板200上進行第二腔 室製程。在步驟110,以高能量的製程體系蝕刻/再濺射種晶層210,以去除鄰近開口212的上角218的至少一部分種晶層210,如第2D圖所繪示(例如以提供蝕刻的種晶層)。藉由蝕刻/再濺射至少一部分的種晶層210,可以沿著側壁214在鄰近開口212的上角218的所需位置控制種晶層210的厚度,以提供向內傾斜的種晶層輪廓(例如平均種晶層厚度從開口212的上部226、228往開口212的底部216增加),例如第2D圖所繪示的。例如,在一些實施例中,形成在靠近開口212的底部216的側壁232上的種晶層210厚度可以為約2至約10nm,並且形成在靠近開口212的上部的側壁232上的種晶層210厚度可以為約1至約5nm。在一些實施例中,種晶層210可以是不連續的層。例如,在一些實施例中,在靠近開口212的上部226、228或開口212的上角218的側壁214部分上可以不設置種晶層210材料。可以視特徵尺寸而定改變種晶層的厚度。在一些實施例中,在側壁232下部的種晶層厚度可以是在側壁232上部的種晶層厚度的兩倍以上。
製程氣體可以包含任何適用於形成電漿以蝕刻種晶層210的氣體,例如惰性氣體,諸如氬(Ar)、氦(He)、氪(Kr)、氖(Ne)、氙(Xe)或類似者。可以以約10至約300sccm之間的流動速率或者在一些實施例中以約100sccm的流動速率提供製程氣體。可以藉由在適當的條件下將源功率耦合到處理腔室內的製程氣體,而將製程氣體形成為電漿,以建立和保持電漿。例如,在一些實施例中,可以提供約5kW至約60kW的直流功率或在一些實施例中約20千瓦的直流功 率,以點燃製程氣體並保持電漿。在一些實施例中,可以將偏壓功率施加到基板,以便於將來自電漿的離子導向基板,從而促進蝕刻製程。在一些實施例中,施加到基板用於高能量製程體系的偏壓功率可以介於-240V至-750V之間。例如,在一些實施例中,在約2MHz至約60MHz或約13.56MHz的頻率下,偏壓功率可以為約50瓦至約2000瓦,或在一些實施例中為約600瓦。
除了上述情況之外,可以使用另外的製程參數來便於蝕刻/再濺射種晶層210。例如,在一些實施例中,可以將處理腔室保持在約1至約50毫托的壓力下。此外,在一些實施例中,可以將處理腔室保持在約20至約200攝氏度的溫度。
接著,在步驟112,可以將導電材料222沉積在種晶層210的頂上,以填充開口212,如第2E圖中所繪示的。在種晶層210不形成連續層(如上所述)的實施例中,可以將部分的導電材料222直接沉積在阻障層208的頂上。可以以任何方式沉積導電材料222,例如電化學沉積、或電化學電鍍(ECP)或類似者。導電材料222可以是任何適當的導電材料,例如鋁(Al)、銅(Cu)或類似者。
在一些實施例中,本發明人已經觀察到,由於種晶層210的厚度增加,所以在沉積過程中導電材料222的生長速率可能會增加。例如,在一些實施例中,當與沉積在厚度較小的種晶層210頂上部分(例如設置在靠近開口212頂部的側壁上的種晶層210部分和沉積在上角218上的種晶層部分)的導電材料222生長速率相比時,沉積在厚度較大的種 晶層210頂上部分(例如設置在靠近開口212底部216的側壁上的種晶層210部分和沉積在底部本身上的種晶層部分)的導電材料222生長速率可能會比較高。因此,藉由提供具有傾斜輪廓的種晶層210(如上所討論的),靠近開口212底部216的導電材料222生長速率可以有利地更大,從而允許開口212被從底部216填充到頂部。從底部216到頂部來填充特徵可以防止過量的導電材料222在特徵的上角218附近形成,從而防止在用導電材料222完全填滿開口212之前將開口212封閉。
在用導電材料222填充開口212之後,可以使用化學機械研磨(CMP)或其他適當的技術來去除開口212(以及任何其他的特徵,例如其他通孔、溝槽、雙波紋結構或類似者)之外的過量導電材料222,如第2F圖所繪示的。
在沉積導電材料222以填充開口212之後,該方法通常會結束,而且基板200可以繼續進行進一步的處理,例如沉積、蝕刻、退火或類似者。例如,在一些實施例中,可以沉積另外的層,例如可以在填充的開口212上方形成另外的介電層及/或金屬化結構。
本文所描述的發明方法也可以在如下所述的處理腔室中進行。第3圖依據本發明的一些實施例圖示適用於處理基板的處理腔室。適合的處理腔室之實例包括ENDURA® EXTENSA TTN和ENDURA® ENCORE處理腔室,兩者皆可向加州聖克拉拉的應用材料公司購得。構思的是,也可以使用其他的處理腔室來進行本發明,包括來自其他製造商的處理 腔室。
在一些實施例中,處理腔室300包含上面用以接收基板200的基板支撐台座352以及例如靶材342的濺射源。基板支撐台座352可以位於接地的外殼壁350內,外殼壁350可以是腔室壁(如圖示)或接地屏蔽(未圖示)。基板支撐台座352可以包括任何提供熱到基板200的適當工具(未圖示),例如電阻加熱元件、輻射腔和光源或類似者。
靶材342可以藉由介電隔離器346被支撐在接地的導電鋁適配器344上。依據本發明的實施例,靶材342包含在濺射過程中將被沉積在基板200上的材料,例如當沉積氮化鈦膜時靶材342包含鈦。
基板支撐台座352具有面向靶材342的主表面的材料接收表面,並且支撐將在與靶材342的主表面相對的平面位置中被濺射塗覆的基板200。基板支撐台座352可以將基板200支撐在處理腔室300的中央區域340中。中央區域340被界定為在處理過程中在基板支撐台座352上方的區域(例如當在處理位置時介於靶材342和基板支撐台座352之間)。
可以藉由與底部腔室壁360連接的波紋管358垂直移動基板支撐台座352,以允許基板200經由在處理腔室300下部的負載鎖定閥(未圖示)被轉移到基板支撐台座352上,並於之後將基板支撐台座352上升到沉積或處理位置,如第3圖中所繪示。可以從氣源362經由質量流量控制器364供應一或多種處理氣體進入腔室300的下半部。可以設置排氣口368並將排氣口368經由閥366耦接到泵(未圖示),用以排 出處理腔室300的內部體積,並且便於維持處理腔室300內所需的壓力。
可以將可控制的直流電源348耦接到腔室300,以施加負壓或偏壓到靶材342。可以將RF電源356耦接到基板支撐台座352,以在基板200上誘發負直流偏壓。此外,在一些實施例中,在處理過程中負直流自偏壓可形成於基板200上。在其他的應用中,可以將基板支撐台座352接地或可讓基板支撐台座352為電浮動的。
可將可轉動的磁控管370定位在靶材342的背面附近。磁控管370包括由基部板材374支撐的複數個磁體372。基部板材374連接到旋轉軸376,旋轉軸376與腔室300和基板200的中心軸重合。磁鐵372在腔室300內產生的磁場大致上平行並接近靶材342的表面,以捕捉電子並增加局部的電漿密度,此舉接著又提高濺射率。磁鐵372在腔室300的頂部周圍產生電磁場,而且磁鐵372被旋轉而轉動影響製程電漿密度的電磁場,以更均勻地濺射靶材342。
腔室300還包括接地的底部屏蔽380,底部屏蔽380連接到適配器344的凸緣384。暗空間屏蔽386被支撐在底部屏蔽380上,並藉由螺釘或其他適當的方式被固定於屏蔽380。底部屏蔽380和暗空間屏蔽386之間的金屬螺紋連接允許兩個屏蔽380、386被接地到適配器344。適配器344接著被密封並接地到鋁腔室側壁350。兩個屏蔽380、386通常皆由堅硬的、非磁性的不銹鋼所形成。
底部屏蔽380在第一直徑的上管狀部394和第二直 徑的下管狀部396中向下延伸。底部屏蔽380沿著適配器344壁和腔室壁350向下延伸到基板支撐台座352的頂部表面下方,並返回向上,直到到達基板支撐台座352的頂部表面(例如在底部形成U形部分398)。當基板支撐台座352處在下方裝載位置時,蓋環302靜置於底部屏蔽380向上延伸的內側部分之頂部上,但是當基板支撐台座352處在上方沉積位置時,蓋環302靜置於基板支撐台座352的外部周邊上,以保護基板支撐台座352不被濺射沉積。可以使用額外的沉積環(未圖示)來屏蔽基板200的周邊不被沉積。
可以僅將RF線圈304設置於靶材342和基板支撐台座352之間的下二分之一或三分之一空間中、基板200周邊的外側。底部屏蔽380中的多個絕緣支座(未圖示)支撐RF線圈304,並且還提供RF功率和接地到RF線圈304。線圈304可以是單匝的、接近管狀的銅製線圈,並且在用於供電和接地的緊密間隔電引線之間具有小的縫隙。可以設置RF電源308來供應RF功率到RF線圈304,以在從靶材342去除的區域中產生氬電漿。靶材342可以由DC供電,用於濺射沉積,並且RF線圈304可以被用於濺射蝕刻基板200。然而,在一些實施例中,任何組合的DC和RF功率皆可以供電給二步驟的DCE製程。
腔室300還可以適用於提供更多方向性的材料濺射到基板上。在一些實施例中,可以藉由在靶材342和基板支撐台座352之間放置可選擇的準直器310來實現方向性濺射,以提供更均勻和對稱的沉積材料通量到基板200。
當準直器310存在時,準直器310可以靜置於底部屏蔽380的突出部上,從而使準直器310接地。準直器310可以是金屬環,並且可以包括外管狀區段和至少一內同心管狀區段,例如由橫桿320、318連接的三個同心管狀區段312、314、316。外管狀區段316靜置於底部屏蔽380的突出部306上。使用底部屏蔽380來支撐準直器310簡化了腔室300的設計和維護。至少兩個內管狀區段312、314有足夠的高度來界定高長寬比的孔,該等高長寬比的孔部分準直濺射的粒子。另外,準直器310的上表面作為與偏壓靶材342相對的接地平面,此舉有利於將電漿電子保持在遠離基板200。
在一些實施例中,可以將磁鐵354設置在腔室300的周圍,以在基板支撐台座352和靶材342之間選擇性地提供磁場。例如,如第3圖中所圖示,當在處理位置時,可以將磁體354設置在腔室壁350外側、正好在基板支撐台座352上方的區域中。磁鐵354可以是電磁鐵,並且可以被耦接到電源(未圖示),用於控制由電磁鐵產生的磁場大小。
製程控制器330被耦接到處理腔室300的各個組件,用於控制處理腔室300的操作,並且製程控制器330包含中央處理單元(CPU)332、記憶體334以及用於CPU 332的支持電路336。製程控制器330可以直接或經由與特定的處理腔室及/或支持系統組件相關聯的電腦(或控制器)來控制基板處理設備。製程控制器330可以是任何形式的、可用於工業設定中的通用電腦處理器中之一者,用於控制各種腔室和子處理器。CPU 332的記憶體或電腦可讀媒介334可以是 立即可用記憶體中之一或多者,該立即可用記憶體例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟、閃存或任何其他形式的數位儲存器,本地或遠端的。支持電路336耦接到CPU 332,用於以傳統的方式支持處理器。該等電路包括高速緩存、電源、時鐘電路、輸入/輸出電路和子系統及類似者。如本文所述的發明方法可以被儲存在記憶體334中作為軟體常式,該軟體常式可以被執行或調用來以本文所描述的方式控制處理腔室300的操作。該軟體常式也可以被第二個CPU(未圖示)儲存及/或執行,該第二個CPU位於由CPU 332控制的硬體之遠端。
同步控制器322(下面關於第5圖更詳細地描述)被耦接到處理腔室300的各個組件,用於控制處理腔室300的操作,並且包含中央處理單元(CPU)324、記憶體326以及用於CPU 324的支持電路328。同步控制器322可以從製程控制器330接收製程參數,用於基板處理支持系統的子集。例如,同步控制器322可以從製程控制器330接收製程參數用於RF電源308和356、直流電源348以及選擇性的磁控管370。同步控制器322可以直接或經由與支持系統組件相關的電腦(或控制器)控制該等系統。同步控制器322可以是任何形式的、可用於工業設定中的通用電腦處理器中之一者,用於控制各種支持系統和子處理器。CPU 324的記憶體(或電腦可讀媒介)326可以是立即可用記憶體中之一或多者,該立即可用記憶體例如隨機存取記憶體(RAM)、唯讀記憶體(ROM)、軟碟、硬碟、閃存或任何其他形式的數位儲存器, 本地或遠端的。支持電路328耦接到CPU 324,用於以傳統的方式支持處理器。該等電路包括高速緩存、電源、時鐘電路、輸入/輸出電路和子系統及類似者。本文所述的發明方法可以被儲存在記憶體326中作為軟體常式,該軟體常式可以被執行或調用來以本文所描述的方式(例如以上關於方法100和600所描述的)控制與處理腔室300相關聯的選擇支持裝置(例如電源)之操作。該軟體常式也可以被第二個CPU(未圖示)儲存及/或執行,該第二個CPU位於由CPU 324控制的硬體之遠端。
如以上所討論的,本發明人已經觀察到,藉由同步製程參數(例如磁控管位置、電磁電流、直流和RF功率)的發送來減少控制訊號的傳輸延遲,可以在沉積性能(階梯覆蓋、均勻性)、製程結果的可重複性以及硬體組件的可靠性實現改善。具體而言,本發明人已經觀察到,目前藉由製程控制器來控制DC和RF功率以及電磁電流的方法造成了明顯的訊號延遲,該訊號延遲大大地影響沉積性能和製程結果的可重複性。基板處理工具可以具有許多製程腔室和網路節點,用於與裝置和電源通訊。典型地,中央「實時」製程控制器402被用來在共享網路連線410上協調到所有裝置406a-n的訊號,如第4A圖中所圖示。在第4A圖圖示的系統中,本發明人已經觀察到,製程控制器402不是真正的「實時」,而是在被控制的製程組件406a-n之間的訊號處理中具有多達100毫秒的延遲。本發明人已經證實的是,有網路延遲和通訊編碼/解碼時間,此舉也會對網路410上的任何給定裝置造成較慢 的總響應時間。具體而言,製程控制器402可以在共享網路410上發送裝置406a-n在腔室408中進行特定沉積製程所需的全部製程參數到例如在裝置機架404中的裝置406a-n。然而,由於共享網路上的網路壅塞,裝置在接收其用於進行特定沉積製程的操作指令時可能會被推遲。此外,增加的延遲是與每個裝置相關的固有延遲(例如內部訊號處理和電源的功率循環時間)。在某些情況下該等延遲的總和已被證明為300毫秒以上。例如,第4B圖圖示用於通電以實踐沉積製程的理想化設定點,該沉積製程在t=15秒開始,並且在t=17秒時去除所有的功率。然而,訊號延遲顯示實際的功率是在不同的時間施加和移除的。該等延遲也視被附接到製程控制器的系統有多少而變化。因此,對於薄的基板膜,上述各個製程配方的持續時間會在2至5秒之間。若有延遲時間,則實際的結果會明顯不同,如整個晶圓和晶圓間的膜厚度變化所證實的,因為時間並不精確。
本發明人已經觀察到,藉由同步製程參數(例如磁控管的位置、電磁電流、直流和RF功率、溫度、壓力等)的發送、使用到每個裝置的直接通訊線路以及考慮到與每個裝置相關聯的固有延遲,可以在沉積性能(階梯覆蓋、均勻性)、製程結果的可重複性以及硬體組件的可靠性實現改善。藉由使用單獨的可程式化同步控制器504(如第5圖的控制系統500所圖示)來同步製程參數的發送,可以大為減小例如用於控制電源的延遲時間。本文所述的同步控制器504可被用作以上關於第3圖所述的同步控制器322。第6圖繪示示例性方 法600,方法600可以藉由第5圖中的同步控制器504和上面關於第3圖所述的同步控制器322來進行。方法600開始於步驟602,其中同步控制器504經由連結510從製程控制器502接收用於一或多個裝置5061-N的製程控制參數,以例如在腔室508中進行第一沉積腔室製程。
在同步控制器504接收用於進行第一沉積腔室製程的製程參數之後,在步驟604,同步控制器504使用在製程控制參數中所含的資訊來決定發送每個製程控制參數到一或多個裝置5061-N的時間。在步驟606,對於一或多個裝置5061-N中的每個,同步控制器504將使用與一或多個裝置5061-N中的每個相關聯的特定訊號處理延遲(例如固有延遲)調整所決定的時間來發送每個製程控制參數。在步驟608,同步控制器將在調整後的時間發送製程控制參數到一或多個裝置5061-N中的每個,以進行第一腔室製程。在一些實施例中,在步驟610中一或多個裝置5061-N中的每個裝置係由同步控制器504使用輸出通道發送的製程控制參數所控制,該輸出通道直接耦接到裝置的類比控制埠。在一些實施例中,該等製程控制參數以數位的格式被從製程控制器接收,並且同步控制器504將每個裝置的數位製程控制參數轉換成類比訊號,以被發送到並控制一或多個裝置5061-N中的每個。在一些實施例中,在調整後的時間發送製程控制參數到該一或多個裝置的動作包括分別經由每個直接耦接至該一或多個裝置的通道發送對應製程控制參數的類比訊號到該一或多個裝置中的每個。
在一些實施例中,同步控制器504將等待直到所有的製程控制參數被接收,並且將同步製程控制參數到一或多個裝置5061-N中的每個之發送。同步製程控制參數到一或多個裝置5061-N中的每個之發送可以包括同時(平行)經由一或多個輸出通道5121-N發送控制訊號到一或多個裝置5061-N中的每個,其中每個通道係直接耦接到該一或多個裝置通道中之一者,該一或多個裝置通道直接耦接到一或多個裝置5061-N中的每個上的類比控制埠。在其他的實施例中,輸出通道5121-N可以被耦接到一個以上在同一基板處理腔室製程中不同時使用的裝置。在一些實施例中,訊號傳導器到一或多個裝置5061-N中的每個之長度不需要是相同的長度。
在一些實施例中,在步驟606一或多個裝置中的每個可以被同步控制器504使用直接耦接到裝置之控制埠的輸出通道5121-N發送的製程控制參數控制。然後一或多個裝置5061-N中的每個可以供應指定的功率或基板支持製程(若適當)到腔室508。
下面描述可以藉由第3圖的同步控制器322和第5圖的同步控制器504進行的方法600之實例。例如,在一些實施例中,第一沉積製程可以是第一DCE製程,其中將使用低能量的製程體系來使金屬(例如銅)離子沉積在基板上,以建造基板上的特徵之底部。同步控制器504將從製程控制器502接收用於第一腔室製程的一或多個裝置5061-N所需的全部製程參數。例如,第一腔室製程可能需要在t=15秒時啟動兩個直流電源,以供應-120V到腔室508持續2秒,並在 t=17時啟動兩個RF電源,以供應-240V到腔室508持續3秒。同步控制器504將在t=15時經由輸出通道5121和5122同時(平行)直接發送必要的製程參數來控制直流電源5061和5062上的端口,以提供所需的功率。在t=17,同步控制器504將經由輸出通道5121和5122同時(平行)直接發送必要的製程參數來控制直流電源5061和5062上的端口關閉,並經由輸出通道5123和5124控制RF電源5063和5064上的端口開啟。本發明人已經發現到,在上面所討論的本發明之實施例中,直流與RF電源響應時間的同步已經從300毫秒的延遲改善到30毫秒的延遲。因此,每個裝置基本上將在大致上同一時間(即以最小的延遲)接收到所需要的處理參數,並且將會更緊密地匹配所需功率的理想設定點。
在一些實施例中,由同步控制器504接收到的製程參數可以處於數據封包的形式,以控制每個裝置5061-N。每個裝置5061-N可能需要不同的數據/訊號發送格式,用以與該裝置接面。轉換製程參數來控制每個裝置5061-N可以由製程控制器502或由同步控制器504來執行。
在一些實施例中,可以由控制系統500進行沉積製程以外的製程。此外,可以使用同步控制器504來控制壓力系統、溫度系統、磁控管組件或任何其他可被控制用於基板處理的裝置。
雖然前述係針對本發明之實施例,但在不偏離本發明之基本範圍下,仍可以設計出本發明之其他的和進一步的實施例,而且本發明之範圍係由隨後的申請專利範圍所決定。
200‧‧‧基板
300‧‧‧處理腔室
302‧‧‧蓋環
304‧‧‧RF線圈
306‧‧‧突出部
308‧‧‧RF電源
310‧‧‧準直器
312‧‧‧內管狀區段
314‧‧‧內管狀區段
316‧‧‧外管狀區段
318‧‧‧橫桿
320‧‧‧橫桿
322‧‧‧同步控制器
324‧‧‧CPU
326‧‧‧記憶體
328‧‧‧支持電路
330‧‧‧製程控制器
332‧‧‧中央處理單元
334‧‧‧記憶體
336‧‧‧支持電路
340‧‧‧中央區域
342‧‧‧靶材
344‧‧‧適配器
346‧‧‧介電隔離器
348‧‧‧直流電源
350‧‧‧外殼壁
352‧‧‧基板支撐台座
354‧‧‧磁鐵
356‧‧‧RF電源
358‧‧‧波紋管
360‧‧‧底部腔室壁
362‧‧‧氣源
364‧‧‧質量流量控制器
366‧‧‧閥
368‧‧‧排氣口
370‧‧‧磁控管
372‧‧‧磁鐵
374‧‧‧基部板材
376‧‧‧旋轉軸
380‧‧‧底部屏蔽
384‧‧‧凸緣
386‧‧‧暗空間屏蔽
396‧‧‧下管狀部
398‧‧‧U形部分

Claims (14)

  1. 一種在一處理腔室中處理一基板的方法,包含以下步驟:由一同步控制器從一製程控制器接收用於一或多個裝置的製程控制參數,以進行一第一腔室製程;由該同步控制器決定發送每個該等製程控制參數到該一或多個裝置的時間;對於該一或多個裝置中的每個,由該同步控制器基於與該一或多個裝置中的每個相關聯的特定訊號處理延遲來調整所決定的發送每個該等製程控制參數的時間;及由該同步控制器在調整後的時間發送該等製程控制參數到該一或多個裝置中的每個,以進行該第一腔室製程,其中該同步控制器包括一或多個輸出通道,每個通道直接耦接至該一或多個裝置中之一者。
  2. 如請求項1所述之方法,其中該一或多個裝置中的每個裝置係由該同步控制器使用在該輸出通道上發送的製程控制參數控制,該輸出通道直接耦接至該裝置之一控制埠。
  3. 如請求項1所述之方法,其中該製程控制參數係以一數位格式接收自該製程控制器,以及其中該同步控制器為每個裝置將該數位的製程控制參數轉換成類比訊號,該等類比訊號被發送至該一或多個裝置中的每個並控制該一或多個裝置中的每個。
  4. 如請求項3所述之方法,其中在調整後的時間發送該製程控制參數到該一或多個裝置的動作包括分別經由每個直接耦接至該一或多個裝置中之一者的通道發送對應該等製程控制參數的該類比訊號到該一或多個裝置中的每個。
  5. 如請求項1-4中任一項所述之方法,其中該第一腔室製程為一使用一第一能量製程體系進行的沉積製程,以建造以一第一材料形成於該基板上的一或多個特徵之一底部部分。
  6. 如請求項5所述之方法,進一步包含以下步驟:由該同步控制器從該製程控制器接收用於一第二組的一或多個裝置的一第二組製程控制參數,以進行一第二腔室製程;由該同步控制器決定發送每個該第二組製程控制參數到該第二組的一或多個裝置的時間;對於該第二組的一或多個裝置中的每個,由該同步控制器基於與該第二組的一或多個裝置中的每個相關聯的特定訊號處理延遲來調整所決定的發送每個該第二組製程控制參數的時間;及由該同步控制器在調整後的時間發送該第二組製程控制參數到該第二組的一或多個裝置中的每個,以進行該第二腔室製程,其中該同步控制器包括一輸出通道,該輸出通道直接耦接至該第二組的一或多個裝置中的每個。
  7. 如請求項6所述之方法,其中該第二腔室製程為一使用一第二能量製程體系進行的再濺射製程,以將該第一材料從該一或多個特徵之該底部部分再分配至該一或多個特徵之一側壁,以及其中該第二能量製程體系係高於該第一能量製程體系。
  8. 如請求項7所述之方法,其中在進行該第一腔室製程之後沿著該一或多個特徵之該側壁配置的該第一材料具有一第一厚度,其中在進行該第二腔室製程之後沿著該一或多個特徵之該側壁配置的該第一材料具有一第二厚度,以及其中該第二厚度大於該第一厚度。
  9. 如請求項7所述之方法,其中該第一腔室製程為一沉積製程,以及其中該第二腔室製程為一再濺射製程。
  10. 如請求項9所述之方法,其中該第一腔室製程之該第一能量製程體系係介於+150伏直流和-90伏直流之間,以及其中該第二腔室製程之該第二能量製程體系係介於-120伏直流和-750伏直流之間。
  11. 如請求項1-4中任一項所述之方法,其中該一或多個裝置中之至少一者為一電源,以及其中該同步控制器接收用於該電源的製程參數包括(a)一能階和(b)何時施加該能階的一時間參數。
  12. 如請求項1-4中任一項所述之方法,其中在調整後的時間將製程控制參數送至該一或多個裝置中的每個來進行該第一腔室製程,使得該一或多個裝置中的每個大致上在相同的時間接收到該等製程控制參數。
  13. 一種基板處理系統,包含:一同步控制器,具有一或多個輸入通道及一或多個輸出通道,該一或多個輸入通道用以從一製程控制器接收一或多個裝置之製程控制參數,每個輸出通道直接耦接至該一或多個裝置中之一者,其中該同步控制器設以(a)接收該等製程控制參數,(b)決定發送該等製程控制參數中的每個到該一或多個裝置的時間,(c)對於該一或多個裝置中的每個,基於與該一或多個裝置中的每個相關聯的特定訊號處理延遲來調整所決定的發送每個該等製程控制參數的時間,及(d)在調整後的時間發送該等製程控制參數到該一或多個裝置中的每個,以進行一第一腔室製程。
  14. 如請求項13所述之基板處理系統,其中該一或多個裝置中的每個裝置係由該同步控制器使用在該輸出通道上發送的製程控制參數控制,該輸出通道直接耦接至該裝置之一控制埠。
TW102126141A 2012-08-09 2013-07-22 沉積製程同步化之方法及設備 TWI600785B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/570,712 US20140046475A1 (en) 2012-08-09 2012-08-09 Method and apparatus deposition process synchronization

Publications (2)

Publication Number Publication Date
TW201406982A TW201406982A (zh) 2014-02-16
TWI600785B true TWI600785B (zh) 2017-10-01

Family

ID=50066787

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102126141A TWI600785B (zh) 2012-08-09 2013-07-22 沉積製程同步化之方法及設備

Country Status (7)

Country Link
US (1) US20140046475A1 (zh)
EP (1) EP2883240B1 (zh)
JP (1) JP6412498B2 (zh)
KR (1) KR102108717B1 (zh)
CN (1) CN104520972B (zh)
TW (1) TWI600785B (zh)
WO (1) WO2014025508A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3197022A1 (en) * 2016-01-20 2017-07-26 Siemens Aktiengesellschaft Method for producing a can for an electric motor
US10312065B2 (en) * 2016-07-20 2019-06-04 Applied Materials, Inc. Physical vapor deposition (PVD) plasma energy control per dynamic magnetron control
TWI733850B (zh) * 2016-07-27 2021-07-21 美商應用材料股份有限公司 使用沉積/蝕刻技術之無接縫溝道填充
JP6559107B2 (ja) * 2016-09-09 2019-08-14 東京エレクトロン株式会社 成膜方法および成膜システム
US10438846B2 (en) * 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
CN114207771B (zh) * 2019-07-29 2023-12-29 应用材料公司 半导体处理腔室和用于清洁所述半导体处理腔室的方法
CN110484884A (zh) * 2019-09-06 2019-11-22 北京北方华创微电子装备有限公司 磁控溅射控制系统及其控制方法
CN110643964B (zh) * 2019-09-24 2022-06-14 北京北方华创微电子装备有限公司 磁控溅射工艺中直流电源的控制方法、控制装置及系统
US20210391176A1 (en) * 2020-06-16 2021-12-16 Applied Materials, Inc. Overhang reduction using pulsed bias
US11371148B2 (en) * 2020-08-24 2022-06-28 Applied Materials, Inc. Fabricating a recursive flow gas distribution stack using multiple layers
US20230017383A1 (en) * 2021-07-14 2023-01-19 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6413382B1 (en) * 2000-11-03 2002-07-02 Applied Materials, Inc. Pulsed sputtering with a small rotating magnetron
US20040140196A1 (en) * 2003-01-17 2004-07-22 Applied Materials, Inc. Shaping features in sputter deposition
US6794301B2 (en) * 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US20120070982A1 (en) * 2010-09-21 2012-03-22 Applied Materials, Inc. Methods for forming layers on a substrate

Family Cites Families (21)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
KR100375333B1 (ko) * 2001-01-31 2003-03-06 한국과학기술연구원 단일 소스를 이용한 코팅과 표면 처리 장치 및 방법
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
US6755945B2 (en) 2001-05-04 2004-06-29 Tokyo Electron Limited Ionized PVD with sequential deposition and etching
JP2004047885A (ja) * 2002-07-15 2004-02-12 Matsushita Electric Ind Co Ltd 半導体製造装置のモニタリングシステム及びモニタリング方法
JP2005026390A (ja) * 2003-07-01 2005-01-27 Toshiba Microelectronics Corp 半導体集積回路装置の信号配線接続方法、信号配線接続システム、および半導体集積回路装置の製造方法
JP4390568B2 (ja) * 2004-01-19 2009-12-24 富士通株式会社 遅延測定システム
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7071095B2 (en) * 2004-05-20 2006-07-04 Taiwan Semiconductor Manufacturing Company Barrier metal re-distribution process for resistivity reduction
DE102007004860B4 (de) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
KR20080111627A (ko) * 2007-06-19 2008-12-24 삼성전자주식회사 플라즈마 공정장치 및 그 방법
JP4607930B2 (ja) * 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US20100067604A1 (en) * 2008-09-17 2010-03-18 Texas Instruments Incorporated Network multiple antenna transmission employing an x2 interface
JP5649426B2 (ja) * 2009-12-22 2015-01-07 キヤノンアネルバ株式会社 スパッタリング装置及びスパッタリング成膜方法並びにスパッタリング装置の電源制御方法
JP5839318B2 (ja) 2010-03-19 2016-01-06 ナノテック株式会社 炭素膜の形成方法、炭素膜の形成装置、及び炭素膜
US8728956B2 (en) * 2010-04-15 2014-05-20 Novellus Systems, Inc. Plasma activated conformal film deposition
US8392002B2 (en) * 2010-10-14 2013-03-05 Delta Tau Data Systems, Inc. Hybrid machine control incorporating fast-tool servos
US8779662B2 (en) * 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8440473B2 (en) * 2011-06-06 2013-05-14 Lam Research Corporation Use of spectrum to synchronize RF switching with gas switching during etch
US9147620B2 (en) * 2012-03-28 2015-09-29 Teradyne, Inc. Edge triggered calibration

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6794301B2 (en) * 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US6413382B1 (en) * 2000-11-03 2002-07-02 Applied Materials, Inc. Pulsed sputtering with a small rotating magnetron
US20040140196A1 (en) * 2003-01-17 2004-07-22 Applied Materials, Inc. Shaping features in sputter deposition
US20120070982A1 (en) * 2010-09-21 2012-03-22 Applied Materials, Inc. Methods for forming layers on a substrate

Also Published As

Publication number Publication date
EP2883240A1 (en) 2015-06-17
KR20150043389A (ko) 2015-04-22
CN104520972A (zh) 2015-04-15
EP2883240B1 (en) 2020-09-02
US20140046475A1 (en) 2014-02-13
TW201406982A (zh) 2014-02-16
JP6412498B2 (ja) 2018-10-24
WO2014025508A1 (en) 2014-02-13
EP2883240A4 (en) 2016-04-27
CN104520972B (zh) 2017-12-26
KR102108717B1 (ko) 2020-05-08
JP2015533928A (ja) 2015-11-26

Similar Documents

Publication Publication Date Title
TWI600785B (zh) 沉積製程同步化之方法及設備
US8993434B2 (en) Methods for forming layers on a substrate
US9399812B2 (en) Methods of preventing plasma induced damage during substrate processing
KR101760846B1 (ko) 고 종횡비 피처들에서 금속을 증착하는 방법
US7922880B1 (en) Method and apparatus for increasing local plasma density in magnetically confined plasma
KR100878103B1 (ko) 순차적 증착 및 에칭에 의한 이온화된 pvd
US8476162B2 (en) Methods of forming layers on substrates
JP2004526868A5 (zh)
US8563428B2 (en) Methods for depositing metal in high aspect ratio features
JP7155388B2 (ja) ニッケルシリサイド材料を生成する方法
US7807568B2 (en) Methods for reducing damage to substrate layers in deposition processes
US8016985B2 (en) Magnetron sputtering apparatus and method for manufacturing semiconductor device
US20140127912A1 (en) Plasma process etch-to-deposition ratio modulation via ground surface design
US20230017383A1 (en) Methods and apparatus for processing a substrate
US11670485B2 (en) Methods and apparatus for depositing aluminum by physical vapor deposition (PVD)
US20220364230A1 (en) Pulsing plasma treatment for film densification
WO2023191835A1 (en) Electromagnet pulsing effect on pvd step coverage