CN104520972B - 沉积工艺同步化的方法及设备 - Google Patents

沉积工艺同步化的方法及设备 Download PDF

Info

Publication number
CN104520972B
CN104520972B CN201380042279.3A CN201380042279A CN104520972B CN 104520972 B CN104520972 B CN 104520972B CN 201380042279 A CN201380042279 A CN 201380042279A CN 104520972 B CN104520972 B CN 104520972B
Authority
CN
China
Prior art keywords
control parameter
process control
devices
isochronous controller
substrate
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Active
Application number
CN201380042279.3A
Other languages
English (en)
Other versions
CN104520972A (zh
Inventor
温莎·拉姆
基思·A·米勒
卡尔·约翰逊
马丁·李·莱克
叶·许
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Applied Materials Inc
Original Assignee
Applied Materials Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Applied Materials Inc filed Critical Applied Materials Inc
Publication of CN104520972A publication Critical patent/CN104520972A/zh
Application granted granted Critical
Publication of CN104520972B publication Critical patent/CN104520972B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/04Coating on selected surface areas, e.g. using masks
    • C23C14/046Coating cavities or hollow spaces, e.g. interior of tubes; Infiltration of porous substrates
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • C23C14/22Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material characterised by the process of coating
    • C23C14/54Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/2855Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by physical means, e.g. sputtering, evaporation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76871Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers
    • H01L21/76873Layers specifically deposited to enhance or enable the nucleation of further layers, i.e. seed layers for electroplating
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76877Filling of holes, grooves or trenches, e.g. vias, with conductive material
    • H01L21/76879Filling of holes, grooves or trenches, e.g. vias, with conductive material by selective deposition of conductive material in the vias, e.g. selective C.V.D. on semiconductor material, plating

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Electrodes Of Semiconductors (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Physical Vapour Deposition (AREA)
  • General Engineering & Computer Science (AREA)
  • Automation & Control Theory (AREA)

Abstract

在处理腔室中处理基板的方法及设备,包括:从工艺控制器接收用于一或多个装置的工艺控制参数,以进行第一腔室工艺;确定发送所述工艺控制参数中的每个工艺控制参数到所述一或多个装置的时间;对于所述一或多个装置中的每个装置,使用与所述一或多个装置中的每个装置相关联的特定信号处理延迟来调整所确定的发送所述工艺控制参数中的每个工艺控制参数的时间;及在调整后的时间发送所述工艺控制参数到所述一或多个装置中的每个装置,以进行所述第一腔室工艺,其中同步控制器包括一或多个输出通道,每个通道直接耦接至所述一或多个装置中之一。

Description

沉积工艺同步化的方法及设备
技术领域
本发明的实施方式大体涉及在物理气相沉积工艺过程中控制处理条件。
背景技术
集成电路已经发展成能在单一芯片上包括数以百万计的部件(例如晶体管、电容器及电阻器)的复杂装置。芯片设计的演变不断地要求更快的电路和更高的电路密度。对更高电路密度的要求必须要减小集成电路部件的尺寸。由于尺寸减小,集成芯片基板的处理变得越来越有挑战性。
例如,在传统的基板处理中,在将导电材料填充于基板特征之前,先将薄的材料层施加于基板特征的内表面。在理想的情况下,薄层在整个特征上会是一致的,同时最小化悬垂部分(overhang)(在特征开口表面上的多余材料),悬垂部分会缩小特征开口的大小,或完全封闭所述开口(不理想地留下被困在特征内的气隙或孔洞)。由于集成电路部件的尺寸减小,于是特征高度与特征宽度的深宽比增高,进一步加剧了一致地沉积薄层的挑战。
通常用于制造具有此种高深宽比特征的集成电路的典型工艺包括在特征的底部沉积材料以及再溅射材料,以促进所述材料从特征底部到特征侧壁的重新分布。此举是使用导向基板的高能离子来完成的。不幸的是,此方法可能会导致下面的层和基板本身损坏,尤其是在特征的底部以及角或斜角(bevel)的损坏。此种损坏造成明显的线电阻增加以及可靠性衰退。此外,典型工艺的不利结果包括悬垂部分堆积,悬垂部分堆积可能会封闭特征,并且在特征的几何形状更小时(例如在更高的深宽比时)影响变得更为普遍。
此外,发明人已经观察到,由于与电源相关的信号处理延迟,试图通过控制DC(直流)、RF(射频)功率和电磁电流而改变离子密度和能量来解决上述问题造成了整个晶片以及晶片与晶片间的膜厚度变化。
因此,本发明人提供了用以于高深宽比的特征的内表面形成薄材料层的改良方法。
发明内容
本文中提供用于处理基板的方法及设备。在一些实施方式中,用于在处理腔室中处理基板的方法,用于在处理腔室中处理基板的方法及设备,包括:由同步控制器从工艺控制器接收用于一或多个装置的工艺控制参数,以进行第一腔室工艺;由所述同步控制器确定发送所述工艺控制参数中的每个工艺控制参数到所述一或多个装置的时间;对于所述一或多个装置中的每个装置,由所述同步控制器使用与所述一或多个装置中的每个装置相关联的特定信号处理延迟来调整所确定的发送所述工艺控制参数中的每个工艺控制参数的时间;及由所述同步控制器在调整后的时间发送所述工艺控制参数到所述一或多个装置中的每个装置,以进行所述第一腔室工艺,其中所述同步控制器包括一或多个输出通道,每个通道直接耦接至所述一或多个装置中之一。
在一些实施方式中,一种基板处理系统包括同步控制器,所述同步控制器具有一或多个输入端及一或多个输出通道,所述一或多个输入端用以从工艺控制器接收一或多个装置的工艺控制参数,每个输出通道直接耦接至所述一或多个装置中之一,其中所述同步控制器被设置以(a)接收所述工艺控制参数,及(b)发送所述工艺控制参数到所述一或多个装置,使得所述一或多个装置中的每个装置大致上在相同的时间接收到所述工艺控制参数,以进行第一腔室工艺。
在一些实施方式中,一种用于在具有一或多个特征的基板上形成层的方法包括:使用第一能量工艺体系(regime)在第一层上进行第一基板工艺,以用第一材料建造所述一或多个特征的底部部分;及使用第二能量工艺体系在所述第一层上进行第二基板工艺,以使所述第一材料从所述一或多个特征的所述底部部分再分布至所述一或多个特征的侧壁,其中所述第二能量工艺体系高于所述第一能量工艺体系。
附图说明
能通过参照附图中绘示的本发明的说明性实施方式来了解以上简要概述的且以下更加详细论述的本发明的实施方式。然而应注意的是,附图仅示出本发明的典型实施方式,因而不应将附图视为是对本发明范围作限制,因为本发明可允许其他同等有效的实施方式。
图1依据本发明的一些实施方式绘示用于处理半导体基板的方法。
图2A-2F为依据本发明的一些实施方式的在处理工序的不同阶段期间基板的说明性截面图。
图3依据本发明的一些实施方式绘示适用于处理半导体基板的设备。
图4A为在基板处理中用于控制支持系统的传统控制系统的示意图。
图4B为图示与在基板处理中用于控制支持系统的传统控制系统相关联的示例性信号延迟的图。
图5为依据本发明的一些实施方式的包括独立的同步控制器的示例性控制系统的示意图。
图6依据本发明的一些实施方式绘示用于同步控制与半导体基板处理相关联的支持系统的方法。
为了便于理解,已尽可能使用相同的标记数字来表示各图共有的相同元件。附图并未依比例绘制,并且可以为了清晰而简化附图。应想到的是,可有益地将一个实施方式的元件和特征并入其他实施方式中而不需进一步详述。
具体实施方式
在离子化物理气相沉积(PVD)铜工艺中,金属离子被从靶材源材料加速并沉积进入形成于基板上的过孔和沟槽结构(即特征)。本发明人已经发现,通过改变离子密度和能量,可以通过调整离子/中性金属比、轨迹以及溅射产率来调制特征的阶梯覆盖(例如沉积在水平表面上的材料厚度与沉积在垂直表面上的材料厚度相比)。通常PVD铜沉积工艺在高金属离子比例体系中以不同的离子能量操作。通过改变进来的离子的能量得以实现独特的工艺体系。在中等离子能量工艺体系中,观察到高的底部沉积工艺并且在基板上有最少的再溅射。在更高的能量工艺体系中,离子可能会物理地蚀刻基板。本发明人已经发现,在多步骤的工艺中结合中等能量工艺和高等能量工艺对于铜离子回流或电化学沉积或电镀(ECP)缝隙填充可以实现良好的阶梯覆盖,同时最小化或防止基板或特征损坏。
此外,本发明人还观察到,通过同步工艺参数(例如磁控管的位置、电磁电流、DC和RF功率)的发送,能实现沉积性能(阶梯覆盖、均匀性)、工艺结果的可重复性以及硬件部件的可靠性的改良。通过使用独立可编程的逻辑控制器来同步工艺参数的发送,能大大减少例如关于控制电源的延迟时间。具体而言,在实施方式中,DC和RF电源响应时间的同步已经从例如300毫秒的延迟改良到30毫秒的延迟。本发明人还观察到,通过同步DC和RF电源的响应时间,基板晶片边缘的均匀性已经得到了改善,例如从7%改善至2.5%。此外,在至少一些实施方式中,晶片与晶片之间的可重复性也得到类似幅度的改善。此外,通过同步工艺参数到工艺装置的发送,可以通过更精确地控制某些装置何时打开和关闭来防止工艺腔室内的电弧。
图1依据本发明的一些实施方式绘示用于处理基板的方法100。图2A至2F为在图1中描述的方法的不同阶段期间基板的说明性截面图。可以在具有DC和射频(RF)电源的任何适合的基板处理腔室中执行方法100,比如下文所描述和图3中绘示的处理腔室300。
方法100开始于步骤102,在步骤102提供具有特征的基板200,所述特征例如形成在基板200中的开口212,如图2A所绘示。基板200可以是任何适合的基板,比如硅基板、III-V族化合物基板、硅锗(SiGe)基板、外延基板、绝缘体上硅(SOI)基板、显示器基板(比如液晶显示器(LCD)、等离子体显示器、电致发光(EL)灯显示器)、发光二极管(LED)基板、太阳能电池阵列、太阳能面板或类似基板。在一些实施方式中,基板200可以是半导体晶片(例如200毫米、300毫米、450毫米或类似的硅晶片)。
在一些实施方式中,基板200可以包含一或多个层,例如比如形成于介电层202上的体介电层206,如图2A所绘示。导电特征204可形成在介电层202的上区域中,以使得导电特征204的上表面可以通过形成在体介电层206中的开口212而暴露。举例来说,可以进行过孔/沟槽蚀刻工艺而在体介电层206中界定开口212,从而暴露出导电特征204的上表面。导电特征204可以由任何适合的导电材料制成。例如,对于铜互连,导电特征204可以是嵌入介电层202的铜层。在一些实施方式中,导电特征204可以由诸如铜、铝、钨或类似金属、上述金属的合金或上述金属的组合制成。
体介电层206和介电层202可以由相同或不同的介电材料制成。在一些实施方式中,所述介电材料可以包含氧化硅(SiO2)、氮化硅(SiN)、低k材料或类似材料。所述低k材料可以是碳掺杂的介电材料(比如碳掺杂的氧化硅(SiOC)、可从加州圣克拉拉市应用材料公司(Applied Materials,Inc.of Santa Clara,California)购得的BLACK介电材料或类似材料)、有机聚合物(比如聚酰亚胺、聚对二甲苯或类似材料)、有机掺杂的硅玻璃(OSG)、氟掺杂的硅玻璃(FSG)或类似材料。如本文所使用的,低k材料是介电常数约比氧化硅的介电常数(约3.9)低的材料。
开口212通常由一或多个侧壁214、底部表面216以及上角(斜角)218界定。开口212可以是任何适用于基板制造的特征,例如比如过孔、沟槽、双镶嵌(damascene)特征或类似特征,并且可以由任何适合的工艺(比如蚀刻)形成。尽管只图示出一个开口212,但依据本文所揭示的教导可以同时处理多个特征。开口212通常可以具有任何尺寸。例如,在一些实施方式中,开口212可以具有至少约2:1的特征高度与特征宽度的比例。在一些实施方式中,开口212可以是高深宽比的特征。在这些实施方式中,开口212可以具有至少约4:1的特征高度与特征宽度的比例。在一些实施方式中,开口212可以具有约5nm至约50nm的宽度。
虽然基板200被描绘为具有形成于介电层202上的体介电层206,但基板200也可以包括不同的和/或额外的材料层。此外,可以在不同的和/或额外的材料层中形成其他特征,比如沟槽、过孔或类似特征。
接着,在步骤104,可以在基板200上面选择性地沉积阻挡层208。当存在阻挡层208时,阻挡层208可以用来作为基板与随后被沉积在开口中的层之间的电阻挡层和/或物理阻挡层,且/或可以在下面论述的沉积工艺过程中用作为比基板的原有表面更好的附着表面。阻挡层208可以包含适用于执行上面论述的功能的任何材料。例如,在一些实施方式中,阻挡层208可以包含钛(Ti)、钽(Ta)、以上材料的氧化物或氮化物、或类似材料中之一或更多。阻挡层208可以被沉积到任何适合的厚度,例如约0.5nm至约10nm。
可以通过任何适合的方法沉积阻挡层208,例如比如化学气相沉积(CVD)、物理气相沉积(PVD)或类似方法。例如,在一些实施方式中,可以通过PVD工艺在适合的处理腔室中沉积阻挡层208,所述处理腔室比如下面关于图3描述的处理腔室300。在这些实施方式中,处理腔室可以具有设置在其中的靶材(例如靶材342),所述靶材包含将被沉积在基板200上面的源材料。例如,在阻挡层包含氮化钽(TaNx)的实施方式中,靶材可以包含钽(Ta)。
在一些实施方式中,沉积阻挡层208可以包括提供工艺气体到处理腔室并由所述工艺气体形成等离子体而与来自靶材的源材料反应。所述反应导致靶材喷射出靶材材料的原子,然后所述原子被导向基板200。在一些实施方式中,所述工艺气体可以包含惰性气体,比如氩(Ar)、氦(He)、氪(Kr)、氖(Ne)、氙(Xe)或类似气体。可以以介于约2sccm至约200sccm之间的流率提供工艺气体。在一些实施方式中,可以将约5kW至约40kW的DC功率施加到靶材,以点燃工艺气体并维持等离子体。
在一些实施方式中,为了促进朝向基板200引导从靶材喷射出的原子,可以将RF功率形式的偏压功率施加到支撑基板200的基板支撑基座(例如下面论述的基板支撑基座352)。在这些实施方式中,可以在介于2MHz至约60MHz之间或约13.56MHz的频率供给约50W至约2000W的RF功率。
除了上述内容之外,可以使用额外的工艺参数来促进沉积阻挡层208。例如,在一些实施方式中,可以将处理腔室保持在约0.2mTorr至约50mTorr的压强。此外,在一些实施方式中,可以将处理腔室保持在约-20摄氏度至约+400摄氏度的温度。
接着,在步骤106,可以在开口212内沉积初始种晶层210(即第一材料层),如图2C所绘示。种晶层210提供更好的附着表面,并且可以作为后续沉积材料的模板,所述后续沉积材料例如比如下面论述的导电材料。种晶层210可以包含任何适合提供上述功能的材料。例如,在一些实施方式中,种晶层可以包含以下材料之一:铜(Cu)、钌(Ru)、钴(Co)或类似材料、以及上述材料的合金,比如铜-铝(Cu-Al)、铜-锰(Cu-Mn)、铜-镁(Cu-Mg)或类似材料。
为了形成初始种晶层210(例如第一材料层),在一些实施方式中,可以使用多步骤沉积和/或蚀刻工艺。首先,在步骤108,可以在基板200上进行第一腔室工艺,以形成种晶层210。在一些实施方式中,可以使用低到中等能量工艺体系在开口212内(以及在基板200的上面)沉积初始种晶层210,如图2C所绘示。可以通过任何适用于形成具有所需轮廓的种晶层的沉积工艺来沉积种晶层210,所述沉积工艺比如PVD、CVD或类似工艺。例如,在一些实施方式中,可以通过PVD工艺在适合的处理腔室中沉积种晶层210,所述处理腔室比如下面关于图3描述的处理腔室300。在这些实施方式中,所述处理腔室可以具有设置在其中的靶材(例如靶材342),所述靶材包含将被沉积在基板200上面的源材料。例如,在种晶层210包含铜(Cu)的实施方式中,所述靶材可以包含铜(Cu)源材料。
在一些实施方式中,沉积种晶层210可以包括提供工艺气体到处理腔室,以从靶材物理溅射源材料,例如以使靶材喷射靶材材料的原子,所述原子然后被导向基板200。在一些实施方式中,工艺气体可以包含惰性气体,比如氩(Ar)、氦(He)、氪(Kr)、氖(Ne)、氙(Xe)或类似气体。可以以约4sccm至约300sccm之间的流率或者在一些实施方式中以约4sccm的流率提供工艺气体。在一些实施方式中,可以由工艺气体形成等离子体,以有助于从靶材溅射源材料。在这些实施方式中,可以将约5kW至约60kW的直流功率或在一些实施方式中将约30kW的直流功率施加到靶材,以点燃工艺气体并保持等离子体。可以将介于-300v至-1400v之间的靶材电压(即溅射电压)施加到靶材,以诱发溅射。在一些实施方式中,将-750v的示例性靶材电压施加到靶材上。通过使用低等/中等直流能量工艺体系来溅射靶材材料并结合施加RF偏压能量,靶材源材料离子(例如铜离子)以几乎垂直的轨迹进入基板的特征(例如过孔和/或沟槽)。由于靶材源材料离子的能量低,因此由不再溅射到基板的其他部分的靶材源材料离子建造特征230的底部部分。
在一些实施方式中,为了促进将从靶材喷射出的原子导向基板200,可以将RF功率形式的偏压功率施加到支撑基板200的基板支撑基座(例如基板支撑基座352)。在这些实施方式中,可以以介于2MHz至约60MHz之间或约13.56MHz的频率供给约50W至约2000W的RF功率或在一些实施方式中约120W的RF功率。此外,在一些实施方式中,可以施加介于+150v至-750v之间的基板基座电压。在示例性的多步骤沉积/蚀刻工艺中,可以施加-120v至-240v以及反向至(back to)-50v的基板基座电压。
除了上述内容之外,可以使用额外的工艺参数来促进沉积种晶层210。例如,在一些实施方式中,可以将处理腔室保持在约0.1mTorr至约50mTorr的压强。此外,在一些实施方式中,可以将处理腔室保持在约20摄氏度至约200摄氏度的温度。
在一些实施方式中,本发明人已经观察到,当如上关于步骤108所述通过低等/中等能量沉积工艺沉积种晶层210以建造底部基板特征230时,种晶层材料可能会在开口212的上角218附近积累,如图2C所图示。在传统的处理中,种晶层材料的积累可能会部分或完全地封闭开口212并产生孔洞。
因此,在步骤110,可以在基板200上进行第二腔室工艺。在步骤110,以高能量工艺体系蚀刻/再溅射种晶层210,以去除邻近开口212的上角218的至少一部分种晶层210,如图2D所绘示(例如以提供蚀刻的种晶层)。通过蚀刻/再溅射至少一部分的种晶层210,可以在沿着侧壁214并邻近开口212的上角218的所需位置处控制种晶层210的厚度,以提供向内倾斜的种晶层轮廓(例如平均种晶层厚度从开口212的上部226、228向开口212的底部216增加),比如图2D所绘示的。例如,在一些实施方式中,形成在靠近开口212的底部216的侧壁232上的种晶层210的厚度可以为约2nm至约10nm,并且形成在靠近开口212的上部的侧壁232上的种晶层210的厚度可以为约1nm至约5nm。在一些实施方式中,种晶层210可以是不连续的层。例如,在一些实施方式中,在靠近开口212的上部226、228或开口212的上角218的侧壁214部分上可以不设置种晶层210材料。可以根据特征大小而改变种晶层的厚度。在一些实施方式中,在侧壁232的下部的种晶层厚度可以是在侧壁232的上部的种晶层厚度的多于两倍。
工艺气体可以包含任何适用于形成等离子体以蚀刻种晶层210的气体,例如比如惰性气体,比如氩(Ar)、氦(He)、氪(Kr)、氖(Ne)、氙(Xe)或类似气体。可以以约10sccm至约300sccm之间的流率或者在一些实施方式中以约100sccm的流率提供工艺气体。可以通过在适合的条件下将源功率耦合到处理腔室内的工艺气体而将工艺气体形成为等离子体,以建立和保持等离子体。例如,在一些实施方式中,可以提供约5kW至约60kW的DC功率或在一些实施方式中约20kW的DC功率,以点燃工艺气体并保持等离子体。在一些实施方式中,可以将偏压功率施加到基板,以促进将来自等离子体的离子导向基板,从而促进蚀刻工艺。在一些实施方式中,施加到基板以用于高等能量工艺体系的偏压功率可以介于-240V至-750V之间。例如,在一些实施方式中,在约2MHz至约60MHz或约13.56MHz的频率下,偏压功率可以为约50W至约2000W,或在一些实施方式中为约600W。
除了以上内容之外,可以使用额外的工艺参数来促进蚀刻/再溅射种晶层210。例如,在一些实施方式中,可以将处理腔室保持在约1mTorr至约50mTorr的压强。此外,在一些实施方式中,可以将处理腔室保持在约20摄氏度至约200摄氏度的温度。
接着,在步骤112,可以将导电材料222沉积在种晶层210的上面,以填充开口212,如图2E中所绘示的。在种晶层210不形成连续层(以上所述)的实施方式中,可以将导电材料222的部分直接沉积在阻挡层208的上面。可以以任何方式沉积导电材料222,比如电化学沉积或电化学电镀(ECP)或类似方式。导电材料222可以是任何适合的导电材料,比如铝(Al)、铜(Cu)或类似材料。
在一些实施方式中,本发明人已经观察到,在沉积过程中导电材料222的生长速率会随着种晶层210的厚度增加而增加。例如,在一些实施方式中,与当沉积在种晶层210的厚度较小的部分(例如设置在靠近开口212的顶部的侧壁上的种晶层210部分和沉积在上角218上的种晶层部分)上时导电材料222的生长速率相比,当沉积在种晶层210的厚度较大的部分(例如设置在靠近开口212的底部216的侧壁上的种晶层210部分和沉积在底部本身上的种晶层部分)上时导电材料222的生长速率可能会比较高。因此,通过提供具有倾斜轮廓的种晶层210(如上所论述的),靠近开口212的底部216的导电材料222的生长速率可以有利地更大,从而允许开口212从底部216到顶部被填充。从底部216到顶部来填充特征可以防止在特征的上角218附近形成过量的导电材料222,从而防止在用导电材料222完全填充开口212之前将开口212封闭。
在用导电材料222填充开口212之后,可以使用化学机械研磨(CMP)或其他适合的技术来去除开口212(以及任何其他特征,比如其他过孔、沟槽、双镶嵌结构或类似特征)外部的过量导电材料222,如图2F所绘示的。
在沉积导电材料222以填充开口212之后,所述方法通常结束,而且基板200可以继续进行进一步的处理,比如沉积、蚀刻、退火或类似处理。例如,在一些实施方式中,可以沉积额外的层,例如可以在填充的开口212之上形成额外的介电层和/或金属化结构。
本文所描述的发明方法可以在如下所述的处理腔室中进行。图3依据本发明的一些实施方式图示适用于处理基板的处理腔室。适合的处理腔室的实例包括EXTENSA TTN和ENCORE处理腔室,两者皆可从加州圣克拉拉市的应用材料公司购得。应想到的是,也可以使用其他处理腔室来进行本发明,包括来自其他制造商的处理腔室。
在一些实施方式中,处理腔室300包含基板支撑基座352以及溅射源,所述基板支撑基座352用于在基板支撑基座352上接收基板200,所述溅射源比如靶材342。基板支撑基座352可以位于接地的腔室壁350内,外壳壁350可以是腔室壁(如图示)或接地屏蔽件(未图示)。基板支撑基座352可以包括提供热到基板200的任何适合的工具(未图示),例如比如电阻加热元件、辐射腔和光源、或类似工具。
靶材342可以通过介电隔离器346被支撑在接地的导电铝接合件(adapter)344上。依据本发明的实施方式,靶材342包含在溅射过程中将被沉积在基板200上的材料,比如当沉积氮化钛膜时靶材342包含钛。
基板支撑基座352具有面向靶材342的主表面的材料接收表面,并且在与靶材342的主表面相对的平面位置中支撑将被溅射涂覆的基板200。基板支撑基座352可以将基板200支撑在处理腔室300的中央区域340中。中央区域340被界定为在处理过程中在基板支撑基座352上方的区域(例如介于靶材342与在处理位置时的基板支撑基座352之间)。
基板支撑基座352能通过与底部腔室壁360连接的波纹管358垂直移动,以允许基板200通过在处理腔室300下部的装载锁定阀(未图示)被移送到基板支撑基座352上,并于此之后允许基板200上升到沉积或处理位置,如图3中所绘示。可以从气源362经由质量流量控制器364供给一或多种处理气体进入腔室300的下部分。可以提供排气口368并将排气口368经由阀366耦接到泵(未图示),用以抽空处理腔室300的内部,并且便于维持处理腔室300内所需的压强。
可以将能控制的DC电源348耦接到腔室300,以施加负压或偏压到靶材342。可以将RF电源356耦接到基板支撑基座352,以在基板200上诱发负直流偏压。此外,在一些实施方式中,在处理过程中负直流自偏压可形成于基板200上。在其他的应用中,可以将基板支撑基座352接地或可让基板支撑基座352为电浮的(electrically floating)。
可将能转动的磁控管370定位在靶材342的背面附近。磁控管370包括由基部板374支撑的多个磁体372。基部板374连接到旋转轴376,旋转轴376与腔室300和基板200的中心轴重合。磁体372在腔室300内产生大致上平行并接近靶材342的表面的磁场,以捕获电子并增加局部的等离子体密度,此举接着提高溅射速率。磁体372在腔室300的顶部周围产生电磁场,而且磁体372被旋转以转动影响工艺等离子体密度的电磁场,以更均匀地溅射靶材342。
腔室300还包括接地的底部屏蔽件380,底部屏蔽件380连接到接合件344的凸缘384。暗空间屏蔽件386被支撑在底部屏蔽件380上,并通过螺钉或其他适合的方式被固定至屏蔽件380。底部屏蔽件380与暗空间屏蔽件386之间的金属螺纹连接允许两个屏蔽件380、386被接地到接合件344。接合件344接着被密封并接地到铝腔室壁350。两个屏蔽件380、386通常由坚硬的、非磁性的不锈钢形成。
底部屏蔽件380沿第一直径的上管状部394和第二直径的下管状部396向下延伸。底部屏蔽件380沿着接合件344的壁和腔室壁350向下延伸到基板支撑基座352的顶部表面下方,并返回向上,直到到达基板支撑基座352的顶部表面(例如在底部形成U形部分398)。当基板支撑基座352在下装载位置时,盖环302置于底部屏蔽件380的向上延伸的内部部分的顶部上,但是当基板支撑基座352在上沉积位置时,盖环302置于基板支撑基座352的外部周边上,以保护基板支撑基座352不被溅射沉积。可以使用额外的沉积环(未图示)来屏蔽基板200的周边不被沉积。
RF线圈304可被设置于靶材342与基板支撑基座352之间的下二分之一或三分之一空间中而恰好在基板200的周边外侧。底部屏蔽件380中的多个绝缘支撑件(未图示)支撑RF线圈304,并且还供给RF功率和接地到RF线圈304。线圈304可以是单匝的、接近管状的铜制线圈,并且在用于供电和接地的紧密间隔的电引线之间具有小的间隙。可以提供RF电源308来供给RF功率到RF线圈304,以在远离靶材342的区域中产生氩等离子体。靶材342可被DC供电以用于溅射沉积,并且RF线圈304可以被用于溅射蚀刻基板200。然而,在一些实施方式中,DC和RF功率的任何组合都可以给二步骤的DCE工艺供电。
腔室300还可以适用于向基板上提供更多方向性的材料溅射。在一些实施方式中,可以通过在靶材342与基板支撑基座352之间放置选择性的准直器310来实现方向性溅射,以提供更均匀和对称的沉积材料通量到基板200。
当准直器310存在时,准直器310可以置于底部屏蔽件380的突出部分上,从而使准直器310接地。准直器310可以是金属环,并且可以包括外管状部和至少一个内同轴管状部,例如由横支杆320、318连接的三个同轴管状部312、314、316。外管状部316置于底部屏蔽件380的突出部分306上。使用底部屏蔽件380来支撑准直器310简化了腔室300的设计和维护。至少两个内管状部312、314有足够的高度来界定高长宽比的孔,这些高长宽比的孔在某种程度上使溅射的粒子准直。另外,准直器310的上表面作为与偏压靶材342相对的接地平面,这有利于使等离子体电子保持远离基板200。
在一些实施方式中,磁体354可被设置在腔室300的周围,以用于在基板支撑基座352与靶材342之间选择性地提供磁场。例如,如图3中所图示,可以将磁体354设置在腔室壁350的外部周围、正好在处于处理位置时的基板支撑基座352上方的区域中。磁体354可以是电磁体,并且可以被耦接到电源(未图示),以用于控制由电磁体产生的磁场的大小。
工艺控制器330被耦接到处理腔室300的各个部件,以用于控制处理腔室300的操作,并且工艺控制器330包含中央处理单元(CPU)332、存储器334以及用于CPU 332的支持电路336。工艺控制器330可以直接或通过与特定的处理腔室和/或支持系统部件相关联的计算机(或控制器)控制基板处理设备。工艺控制器330可以是能用于工业设定中的任何形式的通用计算机处理器中之一,以用于控制各种腔室和子处理器。CPU 332的存储器或计算机可读媒介334可以是容易获得的存储器中之一或更多,所述容易获得的存储器比如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘、闪存、或本地或远程的任何其他形式的数字储存器。支持电路336耦接到CPU 332,用于以传统的方式支持处理器。这些电路包括高速缓冲存储器、电源、时钟电路、输入/输出电路和子系统及类似电路。如本文所述的发明方法可以作为软件程序而被储存在存储器334中,所述软件程序可以被执行或调用来以本文所描述的方式控制处理腔室300的操作。所述软件程序也可以被第二个CPU(未图示)储存和/或执行,所述第二个CPU距离由CPU 332控制的硬件远程地定位。
同步控制器322(下面关于图5更详细地描述)被耦接到处理腔室300的各个部件,用于控制处理腔室300的操作,并且包含中央处理单元(CPU)324、存储器326以及用于CPU324的支持电路328。同步控制器322可以从工艺控制器330接收用于基板处理支持系统的附属设备(sub-set)的工艺参数。例如,同步控制器322可以从工艺控制器330接收用于RF电源308和356、DC电源348以及选择性的磁控管370的工艺参数。同步控制器322可以直接或通过与支持系统部件相关联的计算机(或控制器)控制这些系统。同步控制器322可以是能用于工业设定中的任何形式的通用计算机处理器中之一,用于控制各种支持系统和子处理器。CPU 324的存储器或计算机可读媒介326可以是容易获得的存储器中之一或更多,所述容易获得的存储器比如随机存取存储器(RAM)、只读存储器(ROM)、软盘、硬盘、闪存、或本地或远程的任何其他形式的数字储存器。支持电路328耦接到CPU 324,用于以传统的方式支持处理器。这些电路包括高速缓冲存储器、电源、时钟电路、输入/输出电路和子系统及类似电路。本文所述的发明方法可以作为软件程序而被储存在存储器326中,所述软件程序可以被执行或调用来以本文所描述的方式(例如比如以上关于方法100和600所描述的)控制选择的与处理腔室300相关联的支持装置(例如电源)的操作。所述软件程序也可以被第二个CPU(未图示)储存和/或执行,所述第二个CPU距离由CPU 324控制的硬件远程地定位。
如以上所论述的,本发明人已经观察到,通过同步工艺参数(例如磁控管位置、电磁电流、直流和RF功率)的发送来减少控制信号的传输延迟,能实现沉积性能(阶梯覆盖、均匀性)、工艺结果的可重复性以及硬件部件的可靠性的改善。具体而言,本发明人已经观察到,当前通过工艺控制器控制DC和RF功率以及电磁电流的方法产生了明显的信号延迟,所述信号延迟大大地影响沉积性能和工艺结果的可重复性。基板处理工具可以具有许多用于与装置和电源通讯的工艺腔室和网络节点。典型地,中央“实时”工艺控制器402被用来在共享网路连接410上协调到所有装置406a-n的信号,如图4A中所图示。在图4A图示的系统中,本发明人已经观察到,工艺控制器402不是真正的“实时”,而是在被控制的工艺部件406a-n之间的信号处理中具有长达100毫秒的延迟。本发明人已经证实的是,有网路延迟和通讯编码/解码时间,这也会对网络410上的任何给定装置造成较慢的总响应时间。具体而言,工艺控制器402可在共享网络410上发送装置406a-n在腔室408中进行特定沉积工艺所需的全部工艺参数到例如在装置机架(rack)404中的装置406a-n。然而,由于共享网路上的网路堵塞,装置在接收其用于进行特定沉积工艺的操作指令时可能会延迟。此外,与每个装置相关的固有延迟(例如内部信号处理和电源的功率循环时间)增加了延迟。在一些情况下这些延迟的总和已被证明为300毫秒以上。例如,图4B图示用于施加功率以实践沉积工艺的理想化设定点,所述沉积工艺在t=15秒时开始,并且在t=17秒时移除所有的功率。然而,信号延迟显示实际的功率是在不同的时间施加和移除的。这些延迟也根据可被附接到工艺控制器的系统有多少而变化。因此,对于薄的基板膜,上述各个工艺配方的持续时间会在2秒至5秒之间。在有延迟时间的情况下,因为时间同步(timing)并不精确,所以实际的结果会明显不同,如整个晶片以及晶片与晶片间的膜厚度变化所证实的。
本发明人已经观察到,通过同步工艺参数(例如磁控管的位置、电磁电流、DC和RF功率、温度、压强等)的发送、使用到每个装置的直接通讯线路以及考虑到与每个装置相关联的固有延迟,能够实现沉积性能(阶梯覆盖、均匀性)、工艺结果的可重复性以及硬件部件的可靠性的改善。通过使用独立可编程的同步控制器504(如图5的控制系统500所图示)来同步工艺参数的发送,能大大减小例如关于控制电源的延迟时间。这里所述的同步控制器504可被用作以上关于图3描述的同步控制器322。图6绘示示例性方法600,方法600可通过图5中的同步控制器504和上面关于图3描述的同步控制器322来执行。方法600开始于步骤602,在步骤602同步控制器504经由链路510从工艺控制器502接收用于一或多个装置5061-N的工艺控制参数,以例如在腔室508中进行第一沉积腔室工艺。
在同步控制器504接收用于进行第一沉积腔室工艺的工艺参数之后,在步骤604,同步控制器504使用在工艺控制参数中所含的信息来确定发送工艺控制参数中的每个工艺控制参数到一或多个装置5061-N的时间。在步骤606,对于一或多个装置5061-N中的每个装置,同步控制器504将使用与一或多个装置5061-N中的每个装置相关联的特定信号处理延迟(例如固有延迟)调整所确定的发送工艺控制参数中的每个工艺控制参数的时间。在步骤608,同步控制器将在调整后的时间发送工艺控制参数到一或多个装置5061-N中的每个装置,以进行第一腔室工艺。在一些实施方式中,在步骤610中一或多个装置5061-N中的每个装置由同步控制器504使用向输出通道发送的工艺控制参数控制,所述输出通道直接耦接到装置的模拟控制端口。在一些实施方式中,从工艺控制器以数字格式接收这些工艺控制参数,并且同步控制器504将每个装置的数字工艺控制参数转换成模拟信号,所述模拟信号被发送到并控制一或多个装置5061-N中的每个装置。在一些实施方式中,在调整后的时间发送工艺控制参数到一或多个装置的动作包括分别经由每个直接耦接至一或多个装置中之一的通道发送对应于工艺控制参数的模拟信号到一或多个装置中的每个装置。
在一些实施方式中,同步控制器504将等待直到所有的工艺控制参数被接收,并且将同步发送工艺控制参数到一或多个装置5061-N中的每个装置。同步发送工艺控制参数到一或多个装置5061-N中的每个装置可以包括经由一或多个输出通道5121-N同时(平行)发送控制信号到一或多个装置5061-N中的每个装置,其中每个通道直接耦接到一或多个装置中之一,通道直接耦接到一或多个装置5061-N中的每个装置上的模拟控制端口。在其他实施方式中,输出通道5121-N可以被耦接到在同一基板处理腔室工艺中不同时使用的多于一个装置。在一些实施方式中,信号传导器(signal conductor)到一或多个装置5061-N中的每个装置的长度不需要是相同的长度。
在一些实施方式中,在步骤606一或多个装置中的每个装置可以被同步控制器504使用直接耦接到装置的控制端口的输出通道5121-N发送的工艺控制参数控制。然后一或多个装置5061-N中的每个装置可以供给指定的功率或基板支持工艺(若适当)到腔室508。
下面描述可以通过图3中的同步控制器322和图5中的同步控制器504执行的方法600的实例。例如,在一些实施方式中,第一沉积工艺可以是第一DCE工艺,其中将使用低能量的工艺体系来使金属(例如铜)离子沉积在基板上,以建造基板上的特征的底部。同步控制器504将从工艺控制器502接收用于第一腔室工艺的一或多个装置5061-N的全部所需工艺参数。例如,第一腔室工艺可能需要在t=15秒时启动两个DC电源,以供给-120V到腔室508达2秒,并在t=17时启动两个RF电源,以供给-240V到腔室508达3秒。同步控制器504将在t=15时经由输出通道5121和5122同时(平行)直接发送所需的工艺参数到DC电源5061和5062上的控制端口,以提供所需的功率。在t=17,同步控制器504将经由输出通道5121和5122同时(平行)直接发送所需的工艺参数到DC电源5061和5062上的控制端口,以关闭直流电源5061和5062,并经由输出通道5123和5124同时(平行)直接发送所需的工艺参数到RF电源5063和5064上的控制端口,以开启RF电源5063和5064。本发明人已经发现,在上面所论述的本发明的实施方式中,DC与RF电源响应时间的同步已经从300毫秒的延迟改善到30毫秒的延迟。因此,每个装置将基本上在大致上同一时间(即以最小的延迟)接收到所需要的处理参数,并且将会更严密地匹配所需功率的理想设定点。
在一些实施方式中,由同步控制器504接收到的用于控制每个装置5061-N的工艺参数可能处于压缩数据的形式。每个装置5061-N可能需要不同的数据/信号发送格式,用以与所述装置连接。可以由工艺控制器502或由同步控制器504来执行工艺参数的转换以控制每个装置5061-N
在一些实施方式中,可以由控制系统500进行沉积工艺以外的工艺。此外,可以使用同步控制器504来控制压强系统、温度系统、磁控管组件或任何其他可被控制以用于基板处理的装置。
虽然前述内容针对本发明的实施方式,但在不背离本发明的基本范围的情况下下,可以设计出本发明的其他的和进一步的实施方式,而且本发明的范围由后面的权利要求确定。

Claims (14)

1.一种用于在处理腔室中处理基板的方法,所述方法包含以下步骤:
由同步控制器从工艺控制器接收用于一或多个装置的工艺控制参数,以进行第一腔室工艺;
由所述同步控制器确定发送所述工艺控制参数中的每个工艺控制参数到所述一或多个装置的时间;
对于所述一或多个装置中的每个装置,由所述同步控制器基于与所述一或多个装置中的每个装置相关联的特定信号处理延迟来调整所确定的发送所述工艺控制参数中的每个工艺控制参数的时间,其中所述特定信号处理延迟包括与所述一或多个装置中的每个装置相关联的固有延迟;及
由所述同步控制器在调整后的时间发送所述工艺控制参数到所述一或多个装置中的每个装置,以进行所述第一腔室工艺,其中所述同步控制器包括一或多个输出通道,每个通道直接耦接至所述一或多个装置中之一。
2.如权利要求1所述的方法,其中所述一或多个装置中的每个装置由所述同步控制器使用向所述输出通道发送的工艺控制参数控制,所述输出通道直接耦接至所述装置的控制端口。
3.如权利要求1所述的方法,其中从所述工艺控制器以数字格式接收所述工艺控制参数,并且其中所述同步控制器为每个装置将数字的工艺控制参数转换成模拟信号,所述模拟信号被发送至所述一或多个装置中的每个装置并控制所述一或多个装置中的每个装置。
4.如权利要求3所述的方法,其中在调整后的时间发送所述工艺控制参数到所述一或多个装置的动作包括分别经由每个直接耦接至所述一或多个装置中之一的通道发送对应于所述工艺控制参数的所述模拟信号到所述一或多个装置中的每个装置。
5.如权利要求1至4中任一项所述的方法,其中所述第一腔室工艺为使用第一能量工艺体系进行的沉积工艺,以用第一材料建造形成于所述基板上的一或多个特征的底部部分。
6.如权利要求5所述的方法,所述方法进一步包含以下步骤:
由所述同步控制器从所述工艺控制器接收用于第二组一或多个装置的第二组工艺控制参数,以进行第二腔室工艺;
由所述同步控制器确定发送所述第二组工艺控制参数中的每个工艺控制参数到所述第二组一或多个装置的时间;
对于所述第二组一或多个装置中的每个装置,由所述同步控制器基于与所述第二组一或多个装置中的每个装置相关联的特定信号处理延迟来调整所确定的发送所述第二组工艺控制参数中的每个工艺控制参数的时间;及
由所述同步控制器在调整后的时间发送所述第二组工艺控制参数到所述第二组一或多个装置中的每个装置,以进行所述第二腔室工艺,其中所述同步控制器包括输出通道,所述输出通道直接耦接至所述第二组一或多个装置中的每个装置。
7.如权利要求6所述的方法,其中所述第二腔室工艺为使用第二能量工艺体系进行的再溅射工艺,以使所述第一材料从所述一或多个特征的所述底部部分再分布至所述一或多个特征的侧壁,且其中所述第二能量工艺体系高于所述第一能量工艺体系。
8.如权利要求7所述的方法,其中在执行所述第一腔室工艺之后沿着所述一或多个特征的所述侧壁设置的所述第一材料具有第一厚度,其中在执行所述第二腔室工艺之后沿着所述一或多个特征的所述侧壁设置的所述第一材料具有第二厚度,且其中所述第二厚度大于所述第一厚度。
9.如权利要求7所述的方法,其中所述第一腔室工艺为沉积工艺,且其中所述第二腔室工艺为再溅射工艺。
10.如权利要求9所述的方法,其中所述第一腔室工艺的所述第一能量工艺体系介于+150伏直流与-90伏直流之间,且其中所述第二腔室工艺的所述第二能量工艺体系介于-120伏直流与-750伏直流之间。
11.如权利要求1至4中任一项所述的方法,其中所述一或多个装置中的至少之一为电源,且其中由所述同步控制器接收的用于所述电源的工艺参数包括(a)能量电平和(b)何时施加所述能量电平的时间参数。
12.如权利要求1至4中的任一项所述的方法,其中在调整后的时间将工艺控制参数送至所述一或多个装置中的每个装置来进行所述第一腔室工艺,以使得所述一或多个装置中的每个装置大致上在相同的时间接收到所述工艺控制参数。
13.一种基板处理系统,包含:
同步控制器,所述同步控制器具有一或多个输入端及一或多个输出通道,所述一或多个输入端用以从工艺控制器接收一或多个装置的工艺控制参数,每个输出通道直接耦接至所述一或多个装置中之一,其中所述同步控制器被配置以(a)接收所述工艺控制参数,(b)确定发送所述工艺控制参数中的每个工艺控制参数到所述一或多个装置的时间,(c)对于所述一或多个装置中的每个装置,基于与所述一或多个装置中的每个装置相关联的特定信号处理延迟来调整所确定的发送所述工艺控制参数中的每个工艺控制参数的时间,其中所述特定信号处理延迟包括与所述一或多个装置中的每个装置相关联的固有延迟,及(d)在调整后的时间发送所述工艺控制参数到所述一或多个装置中的每个装置,以进行第一腔室工艺。
14.如权利要求13所述的基板处理系统,其中所述一或多个装置中的每个装置由所述同步控制器使用向所述输出通道发送的工艺控制参数控制,所述输出通道直接耦接至所述装置的控制端口。
CN201380042279.3A 2012-08-09 2013-07-18 沉积工艺同步化的方法及设备 Active CN104520972B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US13/570,712 US20140046475A1 (en) 2012-08-09 2012-08-09 Method and apparatus deposition process synchronization
US13/570,712 2012-08-09
PCT/US2013/051017 WO2014025508A1 (en) 2012-08-09 2013-07-18 Method and apparatus deposition process synchronization

Publications (2)

Publication Number Publication Date
CN104520972A CN104520972A (zh) 2015-04-15
CN104520972B true CN104520972B (zh) 2017-12-26

Family

ID=50066787

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201380042279.3A Active CN104520972B (zh) 2012-08-09 2013-07-18 沉积工艺同步化的方法及设备

Country Status (7)

Country Link
US (1) US20140046475A1 (zh)
EP (1) EP2883240B1 (zh)
JP (1) JP6412498B2 (zh)
KR (1) KR102108717B1 (zh)
CN (1) CN104520972B (zh)
TW (1) TWI600785B (zh)
WO (1) WO2014025508A1 (zh)

Families Citing this family (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP3197022A1 (en) * 2016-01-20 2017-07-26 Siemens Aktiengesellschaft Method for producing a can for an electric motor
US10312065B2 (en) * 2016-07-20 2019-06-04 Applied Materials, Inc. Physical vapor deposition (PVD) plasma energy control per dynamic magnetron control
TWI733850B (zh) * 2016-07-27 2021-07-21 美商應用材料股份有限公司 使用沉積/蝕刻技術之無接縫溝道填充
JP6559107B2 (ja) * 2016-09-09 2019-08-14 東京エレクトロン株式会社 成膜方法および成膜システム
US10438846B2 (en) * 2017-11-28 2019-10-08 Taiwan Semiconductor Manufacturing Co., Ltd. Physical vapor deposition process for semiconductor interconnection structures
KR20220038150A (ko) 2019-07-29 2022-03-25 어플라이드 머티어리얼스, 인코포레이티드 반도체 프로세싱 챔버 및 이를 세정하기 위한 방법들
CN110484884A (zh) * 2019-09-06 2019-11-22 北京北方华创微电子装备有限公司 磁控溅射控制系统及其控制方法
CN110643964B (zh) * 2019-09-24 2022-06-14 北京北方华创微电子装备有限公司 磁控溅射工艺中直流电源的控制方法、控制装置及系统
US20210391176A1 (en) * 2020-06-16 2021-12-16 Applied Materials, Inc. Overhang reduction using pulsed bias
US11371148B2 (en) * 2020-08-24 2022-06-28 Applied Materials, Inc. Fabricating a recursive flow gas distribution stack using multiple layers
US20230017383A1 (en) * 2021-07-14 2023-01-19 Applied Materials, Inc. Methods and apparatus for processing a substrate

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1552097A (zh) * 2001-05-04 2004-12-01 ���������ƴ���ʽ���� 具有连续沉积和蚀刻的电离pvd
JP2011149093A (ja) * 2009-12-22 2011-08-04 Canon Anelva Corp スパッタリング装置及びスパッタリング成膜方法並びにスパッタリング装置の電源制御方法
TW201220363A (en) * 2010-09-21 2012-05-16 Applied Materials Inc Methods for forming layers on a substrate

Family Cites Families (22)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6794301B2 (en) * 1995-10-13 2004-09-21 Mattson Technology, Inc. Pulsed plasma processing of semiconductor substrates
US20050272254A1 (en) * 1997-11-26 2005-12-08 Applied Materials, Inc. Method of depositing low resistivity barrier layers for copper interconnects
US6610151B1 (en) * 1999-10-02 2003-08-26 Uri Cohen Seed layers for interconnects and methods and apparatus for their fabrication
US6413382B1 (en) * 2000-11-03 2002-07-02 Applied Materials, Inc. Pulsed sputtering with a small rotating magnetron
KR100375333B1 (ko) * 2001-01-31 2003-03-06 한국과학기술연구원 단일 소스를 이용한 코팅과 표면 처리 장치 및 방법
US20020117399A1 (en) * 2001-02-23 2002-08-29 Applied Materials, Inc. Atomically thin highly resistive barrier layer in a copper via
JP2004047885A (ja) * 2002-07-15 2004-02-12 Matsushita Electric Ind Co Ltd 半導体製造装置のモニタリングシステム及びモニタリング方法
US20040140196A1 (en) * 2003-01-17 2004-07-22 Applied Materials, Inc. Shaping features in sputter deposition
JP2005026390A (ja) * 2003-07-01 2005-01-27 Toshiba Microelectronics Corp 半導体集積回路装置の信号配線接続方法、信号配線接続システム、および半導体集積回路装置の製造方法
JP4390568B2 (ja) * 2004-01-19 2009-12-24 富士通株式会社 遅延測定システム
US20050241762A1 (en) * 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
US7071095B2 (en) * 2004-05-20 2006-07-04 Taiwan Semiconductor Manufacturing Company Barrier metal re-distribution process for resistivity reduction
DE102007004860B4 (de) * 2007-01-31 2008-11-06 Advanced Micro Devices, Inc., Sunnyvale Verfahren zur Herstellung einer Kupfer-basierten Metallisierungsschicht mit einer leitenden Deckschicht durch ein verbessertes Integrationsschema
KR20080111627A (ko) * 2007-06-19 2008-12-24 삼성전자주식회사 플라즈마 공정장치 및 그 방법
JP4607930B2 (ja) * 2007-09-14 2011-01-05 株式会社東芝 プラズマ処理装置およびプラズマ処理方法
US20100067604A1 (en) * 2008-09-17 2010-03-18 Texas Instruments Incorporated Network multiple antenna transmission employing an x2 interface
JP5839318B2 (ja) * 2010-03-19 2016-01-06 ナノテック株式会社 炭素膜の形成方法、炭素膜の形成装置、及び炭素膜
US20110256734A1 (en) * 2010-04-15 2011-10-20 Hausmann Dennis M Silicon nitride films and methods
US8392002B2 (en) * 2010-10-14 2013-03-05 Delta Tau Data Systems, Inc. Hybrid machine control incorporating fast-tool servos
US8779662B2 (en) * 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8440473B2 (en) * 2011-06-06 2013-05-14 Lam Research Corporation Use of spectrum to synchronize RF switching with gas switching during etch
US9147620B2 (en) * 2012-03-28 2015-09-29 Teradyne, Inc. Edge triggered calibration

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN1552097A (zh) * 2001-05-04 2004-12-01 ���������ƴ���ʽ���� 具有连续沉积和蚀刻的电离pvd
JP2011149093A (ja) * 2009-12-22 2011-08-04 Canon Anelva Corp スパッタリング装置及びスパッタリング成膜方法並びにスパッタリング装置の電源制御方法
TW201220363A (en) * 2010-09-21 2012-05-16 Applied Materials Inc Methods for forming layers on a substrate

Also Published As

Publication number Publication date
US20140046475A1 (en) 2014-02-13
WO2014025508A1 (en) 2014-02-13
JP6412498B2 (ja) 2018-10-24
EP2883240B1 (en) 2020-09-02
JP2015533928A (ja) 2015-11-26
TW201406982A (zh) 2014-02-16
EP2883240A4 (en) 2016-04-27
KR20150043389A (ko) 2015-04-22
EP2883240A1 (en) 2015-06-17
CN104520972A (zh) 2015-04-15
KR102108717B1 (ko) 2020-05-08
TWI600785B (zh) 2017-10-01

Similar Documents

Publication Publication Date Title
CN104520972B (zh) 沉积工艺同步化的方法及设备
US8993434B2 (en) Methods for forming layers on a substrate
US7922880B1 (en) Method and apparatus for increasing local plasma density in magnetically confined plasma
US6562715B1 (en) Barrier layer structure for copper metallization and method of forming the structure
US7588667B2 (en) Depositing rhuthenium films using ionized physical vapor deposition (IPVD)
KR101760846B1 (ko) 고 종횡비 피처들에서 금속을 증착하는 방법
US8512526B2 (en) Method of performing physical vapor deposition with RF plasma source power applied to the target using a magnetron
US6841044B1 (en) Chemically-enhanced physical vapor deposition
US7659204B2 (en) Oxidized barrier layer
US7897516B1 (en) Use of ultra-high magnetic fields in resputter and plasma etching
JP2004526868A5 (zh)
US8563428B2 (en) Methods for depositing metal in high aspect ratio features
US6200433B1 (en) IMP technology with heavy gas sputtering
US6528180B1 (en) Liner materials
US8252690B2 (en) In situ Cu seed layer formation for improving sidewall coverage
US20120228125A1 (en) Creation of magnetic field (vector potential) well for improved plasma deposition and resputtering uniformity
WO2009117494A2 (en) Methods for forming a titanium nitride layer
US20140127912A1 (en) Plasma process etch-to-deposition ratio modulation via ground surface design
US11923244B2 (en) Subtractive metals and subtractive metal semiconductor structures
US20220364230A1 (en) Pulsing plasma treatment for film densification

Legal Events

Date Code Title Description
C06 Publication
PB01 Publication
C10 Entry into substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant