TWI600059B - 半導體元件用內連線的形成方法 - Google Patents

半導體元件用內連線的形成方法 Download PDF

Info

Publication number
TWI600059B
TWI600059B TW105122671A TW105122671A TWI600059B TW I600059 B TWI600059 B TW I600059B TW 105122671 A TW105122671 A TW 105122671A TW 105122671 A TW105122671 A TW 105122671A TW I600059 B TWI600059 B TW I600059B
Authority
TW
Taiwan
Prior art keywords
layer
forming
interconnect
insulating layer
trench
Prior art date
Application number
TW105122671A
Other languages
English (en)
Other versions
TW201715576A (zh
Inventor
養康 蕭
鄭聖燁
Original Assignee
三星電子股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 三星電子股份有限公司 filed Critical 三星電子股份有限公司
Publication of TW201715576A publication Critical patent/TW201715576A/zh
Application granted granted Critical
Publication of TWI600059B publication Critical patent/TWI600059B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/027Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34
    • H01L21/033Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers
    • H01L21/0332Making masks on semiconductor bodies for further photolithographic processing not provided for in group H01L21/18 or H01L21/34 comprising inorganic layers characterised by their composition, e.g. multilayer masks, materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76897Formation of self-aligned vias or contact plugs, i.e. involving a lithographically uncritical step
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/324Thermal treatment for modifying the properties of semiconductor bodies, e.g. annealing, sintering
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76811Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving multiple stacked pre-patterned masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76802Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics
    • H01L21/76807Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures
    • H01L21/76813Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing by forming openings in dielectrics for dual damascene structures involving a partial via etch
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76834Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers formation of thin insulating films on the sidewalls or on top of conductors

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Inorganic Chemistry (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)

Description

半導體元件用內連線的形成方法
本申請案主張於2015年7月31日在韓國智慧財產局提出申請的韓國專利申請案第10-2015-0108958號的優先權及權利,所述韓國專利申請案的揭露內容併入本案供參考。
本發明是有關於一種半導體元件用內連線的形成方法。
互補式金氧半導體(complementary metal oxide semiconductor,CMOS)邏輯元件的速度增加可通常依賴於基於閘極長度的減小而減少閘極延遲時間。然而,目前由於半導體元件已變得高度積體化,因此元件的速度可主要依賴於由例如在後段(back end of line,BEOL)製程中形成的金屬導線所造成的電阻電容(resistance capacitance,RC)延遲。為了減少電阻電容延遲,已使用具有較鋁低的比電阻(specific resistance)且具有較鋁更佳的抗電遷移(resistance to electro-migration)特性及應力引導遷移(stress directed migration)特性的銅作為金屬內連線材料。此種銅不易蝕刻,因此為了精確地形成銅內連線,應減少內連線製程的未對準現象(misalignment)。
本發明的態樣可提供一種半導體元件用內連線的形成方法,其中通孔可與下部內連線自動對準。
根據示例性實施例,一種半導體元件用內連線的形成方法可包括:提供半導體基板;在所述半導體基板上形成下部絕緣層;在所述下部絕緣層中及在所述半導體基板上形成下部內連線;藉由自組裝而在所述下部內連線的上表面上形成絕緣圖案層;在所述絕緣圖案層上形成層間絕緣層及溝槽罩幕;在所述溝槽罩幕上形成光阻圖案,以便能夠局部暴露出所述層間絕緣層;藉由利用所述光阻圖案移除所述層間絕緣層的一部分而形成第一通孔,以便能夠暴露出所述絕緣圖案層;移除所述光阻圖案;在移除所述光阻圖案後,藉由利用所述溝槽罩幕蝕刻所述層間絕緣層而形成溝槽;藉由選擇性地蝕刻所述第一通孔內的所述絕緣圖案層而形成第二通孔,以便能夠暴露出所述下部內連線;以及以導電材料填充所述溝槽及所述第二通孔。
形成所述絕緣圖案層可包括:在所述下部內連線及所述下部絕緣層上形成嵌段共聚物(block copolymer)層;以及加熱所述嵌段共聚物層,以將所述嵌段共聚物層相分離成配置於所述下部內連線的上表面上的第一聚合物圖案層及配置於所述下部絕緣層的上表面上的第二聚合物圖案層。
所述第一聚合物圖案層及所述第二聚合物圖案層可相對於彼此具有蝕刻選擇性。
所述嵌段共聚物層可包含含矽的聚合物。
根據示例性實施例,一種半導體元件用內連線的形成方法可包括:在半導體基板上形成下部絕緣層及下部內連線;藉由自組裝而在所述下部內連線的上表面上形成絕緣圖案層;藉由選擇性地蝕刻所述絕緣圖案層的一部分而形成通孔;以及以導電材料填充所述通孔。
根據示例性實施例,一種半導體元件用內連線的形成方法可包括:提供半導體基板;在所述半導體基板上形成下部絕緣層;在所述下部絕緣層中及在所述半導體基板上形成下部內連線;藉由自組裝而在所述下部絕緣層上形成絕緣圖案層;藉由選擇性地蝕刻所述絕緣圖案層的第一部分而形成第一通孔;藉由蝕刻所述絕緣圖案層的第二部分而形成第二通孔;以及以導電材料填充所述第二通孔。所述絕緣圖案層可包括:嵌段共聚物層,具有與所述下部絕緣層的頂面接觸的第一聚合物圖案及與所述下部內連線的頂面接觸的第二聚合物圖案,其中所述第一通孔是藉由相對於所述第一聚合物圖案而選擇性地蝕刻所述第二聚合物圖案的一部分來形成;或者自組裝單層(self-assembled monolayer,SAM),與所述下部內連線的頂面接觸,其中所述第一通孔是藉由相對於所述下部絕緣層而選擇性地蝕刻所述自組裝單層的一部分來形成。
根據示例性實施例,一種半導體元件用內連線的形成方法可包括:提供半導體基板;在所述半導體基板上形成第一絕緣層;在所述第一絕緣層中及所述半導體基板上形成第一內連線;藉由自組裝而在所述第一內連線上形成絕緣圖案層;在所述絕緣圖案層及所述第一絕緣層上形成第二絕緣層;形成光阻圖案,以移除所述絕緣圖案層的第一部分及所述第二絕緣層的一部分;藉由蝕刻所述絕緣圖案層的第二部分而形成通孔;以及以導電材料填充所述通孔。在形成所述光阻圖案的過程中,所述光阻圖案可包括開口,所述開口在第一方向上的寬度大於所述絕緣圖案層的所述第一部分在所述第一方向上的寬度。
以下將參照附圖如下闡述本發明的實施例。
然而,本發明概念可被例示為諸多不同形式,而不應被視為僅限於本文所述特定實施例。
在本說明書全文中,應理解,當稱一組件(例如,層、區、或晶圓(基板))位於另一組件「上」、「連接至」或「耦合至」另一組件時,所述組件可直接位於所述另一組件「上」、直接「連接至」或直接「耦合至」所述另一組件,抑或其間可存在其他中間組件。相反,當稱一組件「直接」位於另一組件「上」、「直接連接至」或「直接耦合至」另一組件、或者「接觸」另一組件或「與」另一組件「接觸」時,則其間不存在中間組件或層。全文中相同的編號指代相同的組件。本文中所用的用語「及/或」包括相關列出項中一或多個項的任意及所有組合。
顯而易見的是,儘管本文中可能使用用語「第一」、「第二」、「第三」等來闡述各種構件、部件、區、層、及/或區段,然而該些構件、部件、區、層、及/或區段不應受限於該些用語。除非另外指明,否則該些用語僅用於區分各個構件、部件、區、層、或區段。因此,在不背離示例性實施例的教示內容的條件下,以下所論述的第一構件、部件、區、層、或區段亦可被稱為第二構件、部件、區、層、或區段。
在本文中,為易於說明,可使用空間相對性用語,例如「在…之上」、「上方的」、「在…下面」、及「下方的」等來闡述圖中所示一個組件與另一(其他)組件的關係。應理解,該些空間相對性用語旨在除圖中所繪示定向以外亦囊括所述元件在使用或操作中的不同定向。舉例而言,若圖中的元件被翻轉,則被闡述為在其他組件「之上」或「上方」的組件此時將被定向為在所述其他組件或特徵「下面」或「下方」。因此,端視圖的具體方向而定,用語「在…之上」可既囊括上方亦囊括下方的定向。所述元件亦可具有其他定向(例如,旋轉90度或具有其他定向),且本文中所用的空間相對性用語可相應地進行解釋。
本文所用術語僅用於闡述特定實施例,而並非旨在限制本發明概念。除非上下文中清楚地另外指明,否則本文所用的單數形式「一」及「所述」旨在亦包括複數形式。更應理解,當在本說明書中使用用語「包括」、「包含」時,是指明所陳述特徵、整數、步驟、操作、構件、組件、及/或其群組的存在,但不排除一或多個其他特徵、整數、步驟、操作、構件、組件、及/或其群組的存在或添加。
除非上下文中另外指明,否則例如「相等的」、「相同的」、「平面的」、或「共面的」等本文所用用語當指代定向、佈局、地點、形狀、大小、數量、或其他量度時,未必意味著完全等同的定向、佈局、地點、形狀、大小、數量、或其他量度,而是旨在在可例如因製造製程而發生的可接受變化以內囊括近乎等同的定向、佈局、地點、形狀、大小、數量、或其他量度。本文中可使用用語「實質上」來反映此含義。
如本發明人所理解,根據本文所述各種實施例的元件及形成元件的方法可被實施於例如積體電路等微電子元件中,其中根據本文所述各種實施例的多個元件被整合於同一微電子元件中。因此,本文所示的剖視圖可於所述微電子元件中在兩個無需為正交的不同方向上複製。因此,用於實施根據本文所述各種實施例的元件的所述微電子元件的平面圖可包括基於所述微電子元件的功能性而呈陣列形式及/或二維圖案形式的多個元件。
視所述微電子元件的功能性而定,根據本文所述各種實施例的元件可分散於其他元件中。此外,根據本文所述各種實施例的微電子元件可在可與所述兩個不同方向正交的第三方向上複製,以提供三維積體電路。
因此,本文所示剖視圖提供對根據本文所述各種實施例的多個元件的支援,所述多個元件在平面圖中沿兩個不同方向及/或在立體圖中沿三個不同方向延伸。舉例而言,當在元件/結構的剖視圖中示出單一主動區(active region)時,所述元件/結構上可包括多個主動區及電晶體結構(或在適宜時,為記憶體胞結構、閘極結構等),如在所述元件/結構的平面圖中所示。
除非另外定義,否則本文中所使用的所有用語(包括技術及科學用語)的含義皆與本發明的示例性實施例所屬技術領域中具有通常知識者所通常理解的含義相同。更應理解,例如在常用詞典中所定義的用語應被解釋為具有與其在相關技術的上下文中的含義一致的含義,且不應被解釋為具有理想化的或過於正式的意義,除非本文中明確地如此定義。
在下文中,將參照說明本發明實施例的示意圖來闡述本發明的實施例。在圖式中,可預期存在例如由製造技術及/或容差所造成的對所示形狀的修改,且為清晰起見,誇大了層及/區的厚度。在圖式中相同的標號表示相同的組件,且因此可不再對其予以闡述。因此,本發明的示例性實施例不應被視為僅限於本文所示區的特定形狀,而是例如包括由製造而引起的形狀改變。以下實施例亦可由所述實施例中的一者或其組合構成。
以下所闡述的本發明的內容可具有各種構造,本文中僅提出所需構造,但並非僅限於此。
圖1及圖2A至圖2C是說明根據示例性實施例的半導體元件用內連線結構的圖式。此處,圖1是立體圖,圖2A是圖1的平面圖,且圖2B及圖2C是沿線A-A’及線B-B’截取的圖2A的剖視圖。
本文所述的「半導體元件」可指代例如在圖1、圖2A至圖2C、圖3A至圖3C、圖4A至圖4C、圖5A至圖5C、圖6A至圖6C、圖7A至圖7C、圖8A至圖8D、圖9A至圖9C、圖10、圖11A至圖11C、圖12A至圖12C、圖13A至圖13C、圖14A至圖14C、圖15A至圖15D、圖16A至圖16C、圖17、圖18A至圖18C、圖19A至圖19C、圖20A至圖20C、圖21A至圖21C、圖22A至圖22C中示出的各種元件中的任意者,且舉例而言,亦可指代電晶體或例如以下元件:半導體晶片(例如,由晶圓形成的記憶體晶片及/或邏輯晶片)、半導體晶片的堆疊、包括堆疊於封裝基板上的一或多個半導體晶片的半導體封裝、或包括多個封裝的堆疊式封裝元件。
本文中所述的「電子元件」可指代該些元件中的一者且亦可包括包含該些元件的產品,例如記憶體模組、包括附加部件的硬驅動機(hard drive)、行動電話、膝上型電腦(laptop)、平板電腦、桌上型電腦(desktop)、照相機、伺服器、計算系統、或其他消費者電子元件等。
參照圖1及圖2A至圖2C,下部絕緣層131及下部內連線135可形成於基板101(例如,半導體基板)上。配置於下部內連線135的上表面上的第一聚合物圖案層140a及配置於下部絕緣層131上的第二聚合物圖案層140b可形成於基板101上方。層間絕緣層143及金屬內連線層153可形成於第一聚合物圖案層140a及第二聚合物圖案層140b上。
基板101可具有在x軸方向及y軸方向上延伸的上表面。基板101可包含例如IV族半導體、III-V族化合物半導體、或II-VI族化合物半導體等半導體材料。舉例而言,基板101的實例可包括矽基板、鍺基板、矽鍺基板、砷化鎵基板、絕緣體上覆矽(silicon-on-insulator,SOI)基板、或絕緣體上覆半導體(semiconductor-on-insulator,SeOI)基板等。可提供多個主動組件(例如構成積體電路的電晶體)及被動組件。電晶體可包括閘電極及源極/汲極區。所述閘電極及所述源極/汲極區可連接有接觸插塞。此外,可形成連接至所述接觸插塞的金屬墊及局部內連線。
下部絕緣層131可形成為覆蓋主動組件及被動組件、接觸插塞、金屬墊、及局部內連線。下部絕緣層131可包含低K材料,以減少內連線之間的電阻電容訊號延遲及串擾(crosstalk)。下部絕緣層131可包含低K有機聚合物或無機物質。可使用例如聚烯丙基醚系樹脂、氟化聚烯丙基醚系樹脂、聚五氟苯乙烯系樹脂、聚四氟苯乙烯系樹脂、聚醯亞胺氟化物系樹脂、或聚萘氟化物系樹脂、Black DiamondTM 、SiLKTM 等作為所述有機聚合物。可使用例如未經摻雜的矽酸鹽玻璃(undoped silicate glass,USG)、正矽酸四乙酯(tetraethylorthosilicate,TEOS)、摻雜氟的矽酸鹽玻璃(fluorine-doped silicate glass,FSG)、有機矽酸鹽玻璃(organosilicate glass,OSG)、氫倍半矽氧烷(hydrogen silsesquioxane,HSQ)、甲基倍半矽氧烷(methyl silsesquioxane,MSQ)、SiOF、SiOC、SiOCH等作為所述無機物質。
下部內連線135可為在後段(BEOL)製程中形成的金屬內連線。下部內連線135可包含選自由例如鋁(Al)、鋁合金(Al-alloy)、鎢(W)、鈷(Co)、銅(Cu)、或釕(Ru)、金(Au)、銀(Ag)等組成的群組的至少一者。在下部內連線135上可形成上覆層(capping layer)(圖中未示出)。所述上覆層可包含選自由鈷(Co)、釕(Ru)、CoWP等組成的群組中的至少一者。下部內連線135的上表面可與下部絕緣層131的上表面共面。
配置於下部內連線135的上表面上的第一聚合物圖案層140a及配置於下部絕緣層131的上表面上的第二聚合物圖案層140b可藉由如下製程來形成:在所述製程中,使包括兩種聚合物嵌段的嵌段共聚物層140經歷自組裝製程,所述自組裝製程由下部內連線135的上表面的特性與下部絕緣層131的上表面的特性(例如,親水性及疏水性)之間的差異所引導。
第一聚合物圖案層140a及第二聚合物圖案層140b可具有與維持金屬內連線層153與下部內連線135之間的電絕緣的層間絕緣層143相同的功能。
層間絕緣層143可以與上述下部絕緣層131相似的方式包含低k有機聚合物或無機物質。
金屬內連線層153可形成於溝槽內,所述溝槽例如是在圖2A的x軸方向上延伸並以線性形狀形成。此外,金屬內連線層153亦可經由藉由移除層間絕緣層143的一部分及第一聚合物圖案層140a的一部分而形成的開口(通孔)而形成於下部內連線135上。舉例而言,金屬內連線層153可具有雙鑲嵌(dual damascene)內連線結構。障壁層151可安置於金屬內連線層153與鄰近於金屬內連線層153的層(例如,層間絕緣層143、第一聚合物圖案層140a及第二聚合物圖案層140b)之間。障壁層151可防止金屬內連線層153的組分(例如,銅)擴散至鄰近於金屬內連線層153的層中。障壁層151及金屬內連線層153可包含導電材料。障壁層151可包含選自由Ti、Ta、W、TiN、TaN、WN、WCN、TiSiN、TaSiN、及WSiN組成的群組的至少一者。金屬內連線層153可包含選自由鋁(Al)、鋁(Al)合金、銅(Cu)、金(Au)、銀(Ag)、鎢(W)、及鉬(Mo)組成的群組的至少一者。
圖3A至圖3C、圖4A至圖4C、圖5A至圖5C、圖6A至圖6C、圖7A至圖7C、圖8A至圖8D、及圖9A至圖9C是說明根據示例性實施例的半導體元件用內連線的形成方法的圖式。
參照圖3A至圖3C,可在基板101上形成下部絕緣層131及下部內連線135。下部絕緣層131的上表面可與下部內連線135的上表面共面。可將下部內連線135形成為例如在圖3A的y軸方向上延伸的線性形狀。下部絕緣層131的上表面與下部內連線135的上表面可具有彼此不同的化學性質。舉例而言,下部絕緣層131的上表面及下部內連線135的上表面中的一個表面可為親水性的且另一表面可為疏水性的。可使下部絕緣層131的上表面及下部內連線135的上表面經歷表面處理以具有彼此不同的化學性質。
參照圖4A至圖4C,可在下部絕緣層131及下部內連線135上形成嵌段共聚物層140。嵌段共聚物層140可藉由在溶劑中溶解嵌段共聚物並接著在其上進行旋轉塗佈(spin coating)製程而形成。
嵌段共聚物層140可包含含有至少兩種聚合物嵌段的嵌段共聚物。嵌段共聚物可為其中將兩種聚合物嵌段藉由共價鍵而彼此耦合的聚合物。聚合物嵌段可具有不同性質。舉例而言,任意一個聚合物嵌段可表現出親水性性質而另一聚合物嵌段可表現出疏水性性質。具有親水性性質的聚合物嵌段可具有極性(polarity)。由於此種性質的差異,可藉由自組裝製程而對嵌段共聚物進行相分離。
在示例性實施例中,當嵌段共聚物包含兩種聚合物嵌段時,可使用例如以下所列者作為所述嵌段共聚物:聚苯乙烯-嵌段-聚甲基丙烯酸甲酯、聚丁二烯-嵌段-聚甲基丙烯酸丁酯、聚丁二烯-嵌段-聚甲基丙烯酸甲酯、聚丁二烯-嵌段-聚乙烯吡啶、聚丙烯酸丁酯-嵌段-聚甲基丙烯酸甲酯、聚丙烯酸丁酯-嵌段-聚乙烯吡啶、聚異戊二烯-嵌段-聚乙烯吡啶、聚異戊二烯-嵌段-聚甲基丙烯酸甲酯、聚丙烯酸己酯-嵌段-聚乙烯吡啶、聚異丁烯-嵌段-聚甲基丙烯酸丁酯、聚異丁烯-嵌段-聚甲基丙烯酸甲酯、聚異丁烯-嵌段-聚甲基丙烯酸丁酯、聚甲基丙烯酸丁酯-嵌段-聚丙烯酸丁酯、聚乙基乙烯-嵌段-聚甲基丙烯酸甲酯、聚苯乙烯-嵌段-聚甲基丙烯酸丁酯、聚苯乙烯-嵌段-聚丁二烯、聚苯乙烯-嵌段-聚異戊二烯、聚苯乙烯-嵌段-聚乙烯吡啶、聚乙基乙烯-嵌段-聚乙烯吡啶、聚乙烯-嵌段-聚乙烯吡啶、聚乙烯吡啶-嵌段-聚甲基丙烯酸甲酯、聚氧化乙烯-嵌段-聚異戊二烯、聚氧化乙烯-嵌段-聚丁二烯、聚氧化乙烯-嵌段-聚苯乙烯、聚氧化乙烯-嵌段-聚甲基丙烯酸甲酯等。
此外,可使用其中兩種聚合物嵌段中的一者為含矽的聚合物的嵌段共聚物作為嵌段共聚物。舉例而言,可使用以下所列者作為所述嵌段共聚物:聚丁二烯-嵌段-聚二甲基矽氧烷、聚苯乙烯-嵌段-聚二甲基矽氧烷、聚異丁烯-嵌段-聚二甲基矽氧烷、聚苯乙烯-嵌段-聚二茂鐵二甲基矽氧烷、聚苯乙烯-嵌段-聚二茂鐵乙基甲基矽氧烷等。然而,根據示例性實施例的可用嵌段共聚物並非僅限於以上說明的該些實例,而是可使用各種類型的嵌段共聚物。
參照圖5A至圖5C,可對嵌段共聚物層140(圖4A至圖4C)進行熱處理,以可將嵌段共聚物層140相分離成第一聚合物圖案層140a及第二聚合物圖案層140b。可在下部內連線135的上表面上配置第一聚合物圖案層140a,且可在下部絕緣層131的上表面上配置第二聚合物圖案層140b。可藉由由具有不同化學性質的下部內連線135的上表面與下部絕緣層131的上表面所引導的嵌段共聚物層140的自組裝來進行相分離。舉例而言,當嵌段共聚物層140包括具有疏水性性質的第一聚合物嵌段及具有親水性性質的第二聚合物嵌段時,下部內連線135的上表面是疏水性的且下部絕緣層131的上表面是親水性的;藉由熱處理,可將所述第一聚合物嵌段配置於下部內連線135的上表面上以形成第一聚合物圖案層140a,且可將所述第二聚合物嵌段配置於下部絕緣層131的上表面上以形成第二聚合物圖案層140b。在本說明書中,第一聚合物圖案層140a可被稱為絕緣圖案層。
在示例性實施例中,可在嵌段聚合物的玻璃轉化溫度(glass transition temperature)Tg或高於Tg的溫度下進行所述熱處理。舉例而言,可在約100℃至約350℃的溫度下進行熱處理。
參照圖6A至圖6C,可在第一聚合物圖案層140a及第二聚合物圖案層140b上形成層間絕緣層143及溝槽罩幕145。
首先,可在第一聚合物圖案層140a及第二聚合物圖案層140b上形成層間絕緣層143。層間絕緣層143可以與上述下部絕緣層131相似的方式包含低k有機聚合物或無機物質。可利用電漿增強化學氣相沈積(plasma enhanced chemical vapor deposition,PECVD)、高密度電漿化學氣相沈積(high density plasma chemical vapor deposition,HDP-CVD)、常壓化學氣相沈積(atmospheric pressure chemical vapor deposition,APCVD)、旋轉塗佈等來進行層間絕緣層143的形成方法。
接下來,可在層間絕緣層143上形成溝槽罩幕145。在層間絕緣層143上形成硬罩幕層後,可利用具有呈例如在圖6A的x軸方向上延伸的線性形狀的開口的光阻圖案(圖中未示出)而以線性形狀對所述硬罩幕層進行乾式蝕刻,藉此形成溝槽罩幕145。舉例而言,可利用溝槽罩幕145來移除層間絕緣層143的一部分。硬罩幕層可含有例如TiN。可在形成溝槽罩幕145後移除所述光阻圖案。
參照圖7A至圖7C,可形成預備通孔V1,以便能夠暴露出第一聚合物圖案層140a。
首先,可在溝槽罩幕145及層間絕緣層143上形成光阻圖案147,以便能夠局部暴露出層間絕緣層143。光阻圖案147可包括在光阻圖案147的欲與第一聚合物圖案層140a的將在後續製程中被移除的一部分的位置對準的位置上形成的開口。舉例而言,光阻圖案147的開口可在圖7A的x軸方向上具有第一寬度W1或在圖7A的y軸方向上具有第二寬度W2。第二聚合物圖案層140b亦可包括在移除第一聚合物圖案層140a的所述部分後在圖7A的x軸方向上具有第三寬度W3的開口。慮及未對準情況,第一寬度W1可大於第三寬度W3。在示例性實施例中,光阻圖案147可具有在圖7A的y軸方向上具有第二寬度W2的開口,以暴露出溝槽罩幕145的一部分。
隨後,可藉由利用光阻圖案147移除層間絕緣層143的一部分而形成預備通孔V1,以便能夠暴露出第一聚合物圖案層140a。可藉由乾式蝕刻製程來移除層間絕緣層143,且第一聚合物圖案層140a可充當蝕刻終止層。亦可藉由所形成的預備通孔V1而暴露出第二聚合物圖案層140b的一部分。
舉例而言,可相對於第二聚合物圖案層140b選擇性地移除第一聚合物圖案層140a的一部分。由於第一聚合物圖案層140a及第二聚合物圖案層140b包含不同的聚合物嵌段,因此第二聚合物圖案層140b可對第一聚合物圖案層140a具有蝕刻選擇性。舉例而言,在特定蝕刻條件下,第一聚合物圖案層140a可具有較第二聚合物圖案層140b的蝕刻速率快的蝕刻速率。舉例而言,當第一聚合物圖案層140a為含矽的聚合物時,可利用例如CxFy等含氟的蝕刻氣體選擇性地移除第一聚合物圖案層140a。舉例而言,可局部地保留第一聚合物圖案層140a的一部分,以防止暴露出下部內連線135。在下部內連線135被暴露出的情形中,在光阻圖案147的移除製程期間可能會消耗或污染下部內連線135,進而可使得內連線結構的可靠性劣化。根據示例性實施例,可省略相對於第二聚合物圖案層140b選擇性地移除第一聚合物圖案層140a的一部分的製程。舉例而言,在形成溝槽後,可立即移除第一聚合物圖案層140a。
可藉由灰化(ashing)及剝除(stripping)製程來移除光阻圖案147。
參照圖8A至圖8D,可形成溝槽T及通孔V2。
可藉由利用在移除光阻圖案147後被暴露出的溝槽罩幕145移除層間絕緣層143而形成溝槽T。
可將溝槽T的深度形成為使得層間絕緣層143的一部分存留於第一聚合物圖案層140a及第二聚合物圖案層140b上。可以不同於所述說明的方式,將溝槽T形成為能夠暴露出第一聚合物圖案層140a的上表面及第二聚合物圖案層140b的上表面。
隨後,可藉由選擇性地移除位於預備通孔V1內的第一聚合物圖案層140a來形成通孔V2(圖7A至圖7C),經由通孔V2能夠暴露出下部內連線135。
參照圖9A至圖9C,可在溝槽T的側壁及底部上以及通孔V2的側壁及底部上以及在溝槽罩幕145上形成障壁層151。
障壁層151可包含導電材料。障壁層151可含有由鈦(Ti)、鉭(Ta)、鎢(W)、TiN、TaN、WN、WCN、TiSiN、TaSiN、及WSiN組成的群組中的至少一者。可使用選自物理氣相沈積(physical vapor deposition,PVD)、化學氣相沈積(CVD)、及原子層沈積(atomic layer deposition,ALD)的任一者作為障壁層151的形成方法。
舉例而言,可在障壁層151上形成金屬內連線層153以填充溝槽T及通孔V2,且接著可對金屬內連線層153進行平坦化製程,以便能夠藉此暴露出層間絕緣層143。因此,可形成圖1及圖2A至圖2C中所示的雙鑲嵌內連線結構。
金屬內連線層153可包含選自由鋁(Al)、鋁(Al)合金、銅(Cu)、金(Au)、銀(Ag)、鎢(W)、及鉬(Mo)組成的群組的至少一者。可使用選自在濺鍍(sputtering)製程後進行回流的方法、化學氣相沈積(CVD)方法、及電鍍(electroplating)方法的任一者作為金屬內連線層153的形成方法。在示例性實施例中,當使用電鍍方法時,可形成晶種層,以便在電解(electrolysis)時能夠使電流流動。
圖10及圖11A至圖11C說明根據示例性實施例的半導體元件用內連線結構。圖10是半導體元件用內連線結構的透視圖,圖11A是圖10的平面圖,且圖11B及圖11C分別是沿線A-A’及線B-B’截取的圖11A的剖視圖。
除針對形成於下部內連線135上的絕緣圖案層240及直接於下部絕緣層131上形成的層間絕緣層143說明以外,圖10及圖11A至圖11C中所示半導體元件用內連線結構與圖1及圖2A至圖2C所示半導體元件用內連線結構相同。因此,可不再對其予以贅述。
參照圖10及圖11A至圖11C,可在基板101上形成下部絕緣層131及下部內連線135。可在基板101上方形成配置於下部內連線135的上表面上的絕緣圖案層240。可在絕緣圖案層240上形成層間絕緣層143及金屬內連線層153。亦可在下部絕緣層131上形成層間絕緣層143。
絕緣圖案層240可包括自組裝單層(self-assembled monolayer,SAM)。所述自組裝單層(SAM)可為例如有機自組裝單層或功能化奈米顆粒(functionalized nanoparticle,FNP)層。自組裝單層可具有反應基團(reaction group)、聚合物鏈、及官能基團(functional group),且視所述反應基團是親水性的還是疏水性的而定,可僅在下部結構的特定部分上配置所述自組裝單層。視上覆於下部結構的表面上的材料是親水性的還是疏水性的而定,可僅在所述下部結構的特定部分上配置功能化奈米顆粒。
圖12A至圖12C、圖13A至圖13C、圖14A至圖14C、圖15A至圖15D、及圖16A至圖16C說明根據示例性實施例的半導體元件用內連線的形成方法。在參照圖12A至圖12C、圖13A至圖13C、圖14A至圖14C、圖15A至圖15D、及圖16A至圖16C闡述圖10及圖11A至圖11C中所示半導體元件用內連線的形成方法時,對於與參照圖3A至圖3C、圖4A至圖4C、圖5A至圖5C、圖6A至圖6C、圖7A至圖7C、圖8A至圖8D、及圖9A至圖9C進行的說明重覆的說明可僅予以簡要闡述。
參照圖12A至圖12C,可在基板101上形成下部絕緣層131及下部內連線135。下部絕緣層131的上表面可與下部內連線135的上表面共面。可將下部內連線135形成為例如在圖12A的y軸方向上延伸的線性形狀。下部絕緣層131的上表面及下部內連線135的上表面中的一個表面可為親水性的且另一表面可為疏水性的。因此,可在下部內連線135的上表面上配置絕緣圖案層240。在示例性實施例中,可將基板101浸入至其中稀釋有能夠形成自組裝單層的材料(例如,有機自組裝單層或功能化奈米顆粒)的溶液中,以形成僅吸附於下部內連線135的上表面上的自組裝單層,藉此形成絕緣圖案層240。
參照圖13A至圖13C,可在絕緣圖案層240及下部絕緣層131上形成層間絕緣層143。可在層間絕緣層143上形成溝槽罩幕145。
層間絕緣層143可以與上述下部絕緣層131相似的方式包含低k有機聚合物或無機物質。可使用電漿增強化學氣相沈積(PECVD)、高密度電漿化學氣相沈積(HDP-CVD)、常壓化學氣相沈積(APCVD)、旋轉塗佈等作為層間絕緣層143的形成方法。
隨後,可在層間絕緣層143上形成硬罩幕層,且接著可藉由利用具有呈例如在圖13A的x軸方向上延伸的線性形狀的開口的光阻圖案(圖中未示出)而以線性形狀對所述硬罩幕層進行乾式蝕刻來形成溝槽罩幕145。
參照圖14A至圖14C,可形成預備通孔V1,以便能夠暴露出絕緣圖案層240。
舉例而言,可在溝槽罩幕145及層間絕緣層143上形成光阻圖案147,以便能夠局部暴露出層間絕緣層143。接著,可藉由利用光阻圖案147移除層間絕緣層143的一部分來形成能夠暴露出絕緣圖案層240的預備通孔V1。可藉由乾式蝕刻製程來移除層間絕緣層143,且絕緣圖案層240可充當蝕刻終止層。亦可藉由所形成的預備通孔V1而暴露出層間絕緣層143的一部分。
接下來,可相對於層間絕緣層143選擇性地移除絕緣圖案層240的一部分。由於層間絕緣層143及絕緣圖案層240包含不同的材料,因此層間絕緣層143可對絕緣圖案層240具有蝕刻選擇性。舉例而言,在特定蝕刻條件下,絕緣圖案層240可具有較層間絕緣層143的蝕刻速率快的蝕刻速率。在此階段中,可保留絕緣圖案層240的一部分,以防止暴露出下部內連線135。根據本發明概念的示例性實施例,可省略其中可相對於層間絕緣層143選擇性地移除絕緣圖案層240的一部分的操作。舉例而言,在形成溝槽後,可立即移除絕緣圖案層240。
接下來,可藉由灰化及剝除製程來移除光阻圖案147。
參照圖15A至圖15D,可形成溝槽T及通孔V2。
可藉由利用在移除光阻圖案147後被暴露出的溝槽罩幕145移除層間絕緣層143來形成溝槽T。
溝槽T可具有形成為使層間絕緣層143的一部分存留於絕緣圖案層240上的深度。可以不同於所述說明的方式,將溝槽T形成為暴露出絕緣圖案層240的上表面。
隨後,可選擇性地移除預備通孔V1(參見圖14A至圖14C)內的絕緣圖案層240以形成通孔V2,以便能夠暴露出下部內連線135。
參照圖16A至圖16C,可在溝槽T的側壁及底部上以及通孔V2的側壁及底部上以及在溝槽罩幕145上形成障壁層151。
接著,在障壁層151上形成金屬內連線層153以填充溝槽T及通孔V2後,可進行平坦化製程,以便能夠暴露出層間絕緣層143,藉此形成圖10及圖11A至圖11C中所示雙鑲嵌內連線結構。
圖17及圖18A至圖18C說明根據示例性實施例的半導體元件用內連線結構。此處,圖17是透視圖,圖18A是圖17的平面圖,且圖18B及圖18C分別是圖18A所示的線C-C’及線D-D’的剖視圖。所述示例性實施例提供其中通孔藉由單一鑲嵌製程而與局部內連線自動對準的結構。
參照圖17及圖18A至圖18C,可在基板301上形成第二下部絕緣層333及下部內連線335。可在基板301上方形成配置於下部內連線335的上表面上的第一聚合物圖案層340及配置於第二下部絕緣層333的上表面上的第二聚合物圖案層342。可形成被第一聚合物圖案層340及第二聚合物圖案層342環繞的通孔金屬層353。
基板301可具有在圖17的x軸方向及y軸方向上延伸的上表面。舉例而言,基板301的實例可包括矽基板、鍺基板、矽鍺基板、砷化鎵基板、絕緣體上覆矽(SOI)基板、或絕緣體上覆半導體(SeOI)基板等。可在基板301上安置多個主動組件(例如構成積體電路的電晶體)及被動組件。所述電晶體可包括閘極結構320及源極/汲極區。閘極結構320可包括閘電極及閘極絕緣層。在基板301中可形成有界定主動區的元件隔離層305。閘極結構320的側壁上可形成有間隙壁325。所述源極/汲極區可連接有接觸插塞327。第一下部絕緣層331可覆蓋電晶體。此外,可形成連接至接觸插塞及第二下部絕緣層333的下部內連線335。
第一下部絕緣層331及第二下部絕緣層333可包含低k材料,以減少內連線之間的電阻電容延遲及串擾。第一下部絕緣層331及第二下部絕緣層333可包含低k有機聚合物或無機物質。
下部內連線335可為在中段(middle of line,MOL)製程中形成的金屬墊及局部內連線。下部內連線335可含有選自由鋁(Al)、鋁合金(Al-alloy)、鎢(W)、鈷(Co)、銅(Cu)、或釕(Ru)、金(Au)、銀(Ag)等組成的群組的至少一者。下部內連線335上可形成有上覆層。所述上覆層可包含選自由鈷(Co)、釕(Ru)、CoWP等組成的群組的至少一者。下部內連線335的上表面可與第二下部絕緣層333的上表面共面。
配置於下部內連線335的上表面上的第一聚合物圖案層340及配置於第二下部絕緣層333的上表面上的第二聚合物圖案層342可藉由以下製程來形成:使包含兩種聚合物嵌段的嵌段共聚物層經歷自組裝製程,所述自組裝製程由下部內連線335的上表面的特性與第二下部絕緣層333的上表面的特性之間的差異所引導。
通孔金屬層353可在其中第一聚合物圖案層340的一部分已被移除的通孔內形成於下部內連線335上。在通孔金屬層353與第一聚合物圖案層340及第二聚合物圖案層342之間可形成障壁層351。障壁層351可防止通孔金屬層353的組分(例如,銅)擴散。
圖19A至圖19C、圖20A至圖20C、圖21A至圖21C、圖22A至圖22C說明根據示例性實施例的半導體元件用內連線的形成方法。
參照圖19A至圖19C,可在基板301上方形成第二下部絕緣層333及下部內連線335。下部內連線335可包含例如在y軸方向上延伸以具有線性形狀的局部內連線、及具有四角形形狀的金屬墊。第二下部絕緣層333的上表面與下部內連線335的上表面可具有不同的化學性質。舉例而言,第二下部絕緣層333的上表面及下部內連線335的上表面中的一個表面可為親水性的且另一表面可為疏水性的。可使第二下部絕緣層333的上表面及下部內連線335的上表面經歷表面處理以具有不同的化學性質。
參照圖20A至圖20C,可分別在下部內連線335及第二下部絕緣層333上形成第一聚合物圖案層340及第二聚合物圖案層342。
可藉由旋轉塗佈製程而在下部內連線335及第二下部絕緣層333上形成嵌段共聚物層,且接著可對所述嵌段共聚物層進行熱處理,以將其相分離成第一聚合物圖案層340及第二聚合物圖案層342。可在下部內連線335的上表面上形成第一聚合物圖案層340,且可在第二下部絕緣層333的上表面上形成第二聚合物圖案層342。可藉由由具有不同化學性質(例如,親水性性質及疏水性性質)的下部內連線335的上表面與第二下部絕緣層333的上表面所引導的嵌段共聚物層的自組裝來進行所述相分離。可在嵌段共聚物層的玻璃轉化溫度Tg或高於Tg的溫度下進行所述熱處理。可在約100℃至約350℃之間的溫度下進行熱處理。
參照圖21A至圖21C,可形成光阻圖案347,以便能夠局部暴露出第一聚合物圖案層340。光阻圖案347可具有在光阻圖案347的欲與第一聚合物圖案層340的將被移除的一部分的位置對準的位置中形成的開口。慮及未對準情況,光阻圖案347的開口可在例如圖21A的x軸方向或y軸方向上具有較第一聚合物圖案層340的線寬大的寬度。因此,可藉由所述開口而暴露出第二聚合物圖案層342。
參照圖22A至圖22C,可藉由利用光阻圖案347選擇性地移除第一聚合物圖案層340而形成通孔V3,以便能夠暴露出下部內連線335。
接下來,可在通孔V3的側壁上及下部內連線335的上表面上形成障壁層351,且可在障壁層351上形成通孔金屬層353以填充通孔V3。接著,可進行平坦化製程,以便能夠暴露出第二聚合物圖案層342。因此,可形成圖17及圖18A至圖18C中所示單一鑲嵌通孔結構。
障壁層351可含有選自由Ti、Ta、W、TiN、TaN、WN、WCN、TiSiN、TaSiN、及WSiN組成的群組的至少一者。可使用選自物理氣相沈積(PVD)、化學氣相沈積(CVD)、及原子層沈積(ALD)的任意一者作為障壁層351的形成方法。通孔金屬層353可包含選自由鋁(Al)、鋁合金(Al-alloy)、銅(Cu)、金(Au)、銀(Ag)、鎢(W)、及鉬(Mo)組成的群組的至少一者。可使用選自在濺鍍製程後進行回流的方法、化學氣相沈積(CVD)方法、及電鍍方法的任意一者作為通孔金屬層353的形成方法。舉例而言,當使用電鍍方法時,可形成晶種層,以便在電解時能夠使電流流動。
圖23是根據特定實施例的包括半導體元件的儲存裝置的方塊圖。
參照圖23,根據本發明概念的示例性實施例的儲存裝置1000可包括與主機通訊的控制器1010以及其中儲存有資料的記憶體1020-1、1020-2、及1020-3。與控制器1010通訊的主機可為其中安裝有儲存裝置1000的各種類型的電子元件,且可為例如智慧型手機、數位相機、桌上型電腦、筆記型電腦、媒體播放機等。控制器1010可接收由主機傳遞的資料寫入請求或資料讀取請求,以使得資料被寫入至記憶體1020-1、1020-2、及1020-3,或者可產生命令CMD,以便能夠自記憶體1020-1、1020-2、及1020-3讀取資料。控制器1010或記憶體1020-1、1020-2、及1020-3可藉由根據上述各種示例性實施例的半導體元件用內連線的形成方法來製造。如圖23中所示,一或多個記憶體1020-1、1020-2、及1020-3可在儲存裝置1000內並列地連接至控制器1010。如在固態驅動機(solid state drive,SSD)中,具有相對大容量的儲存裝置1000可藉由將所述多個記憶體1020-1、1020-2、及1020-3並列地連接至控制器1010來實作。
圖24是根據特定實施例的包括半導體元件的電子元件的方塊圖。
參照圖24,根據本發明概念的示例性實施例的電子元件2000可包括通訊單元2010、輸入單元2020、輸出單元2030、記憶體2040、及處理器2050。
通訊單元2010可包括有線通訊模組及無線通訊模組,且可包括無線網際網路模組、近場通訊(near-field communication)模組、全球定位系統(global positioning system,GPS)模組、行動通訊模組等。包含於通訊單元2010中的有線通訊模組及無線通訊模組可藉由各種通訊協議而連接至外部通訊網路,以傳送或接收資料。輸入單元2020可為被提供用於控制使用者對電子元件2000的操作的模組,且可包括機械開關、觸控螢幕、聲音辨識模組等。此外,輸入單元2020可亦包括以軌跡球(trackball)或雷射指標方案(laser pointer scheme)等形式運作的滑鼠、或手指滑鼠(finger mouse)元件,且亦可更包括使用者可藉由其輸入資料的各種感測器模組。輸出單元2030可以音訊形式或視覺形式輸出經電子元件2000處理的資訊,且記憶體2040可儲存供處理器2050處理或控制的程式、資料等。處理器2050可根據所需操作而將命令傳遞至記憶體2040,以進而向記憶體2040寫入資料或自記憶體2040讀取資料。記憶體2040可內嵌於電子元件2000中或可經由單個介面而與處理器2050通訊。在經由單個介面而與處理器2050通訊的情形中,處理器2050可藉由例如SD、SDHC、SDXC、MICRO SD、USB等各種介面標準而向記憶體2040寫入資料或自記憶體2040讀取資料。處理器2050可控制包含於電子元件2000中的對應零件的操作。處理器2050可進行與語音通訊、視訊通訊、資料通訊等相關的控制及處理,或亦可對多媒體播放及管理進行控制及處理。此外,處理器2050可處理由使用者藉由輸入單元2020傳遞的輸入,且可藉由輸出單元2030而輸出其結果。此外,處理器2050可向記憶體2040寫入控制電子元件2000的操作所需之資料,或可自記憶體2040讀取資料。處理器2050及記憶體2040中的至少一者可藉由如上所述根據各種示例性實施例的半導體元件用內連線的形成方法而製造。
圖25是根據特定實施例的包括半導體元件的系統的方塊圖。
參照圖25,系統3000可包括控制器3100、輸入/輸出元件3200、記憶體3300、及介面3400。系統3000可為行動系統或用於傳送或接收資訊的系統。所述行動系統可為個人數位助理(personal digital assistant,PDA)、可攜式電腦、網路平板(web tablet)、無線電話、行動電話、數位音樂播放機、或記憶卡。控制器3100可執行程式並可用於控制系統3000。控制器3100可為例如微處理器、數位訊號處理器、微控制器、或與其類似的元件。輸入/輸出元件3200可輸入或輸出系統3000的資料。系統3000可連接至外部元件(例如,個人電腦)或網路以利用輸入/輸出元件3200而在系統3000與所述外部元件或網路之間交換資料。輸入/輸出元件3200可為例如小鍵盤、鍵盤、或顯示器。記憶體3300可儲存用於控制器3100的操作的程式碼及/或資料,及/或可將經控制器3100處理的資料儲存於記憶體3300中。介面3400可充當系統3000與外部的不同元件之間的資料傳輸路徑。控制器3100、輸入/輸出元件3200、記憶體3300、及介面3400可藉由匯流排3500而彼此通訊。控制器3100及記憶體3300中的至少一者可藉由如上所述根據各種示例性實施例的半導體元件用內連線的形成方法而製造。
如上所述,根據本發明的示例性實施例,提供一種半導體元件用內連線的形成方法,其中通孔可藉由鑲嵌製程而與下部內連線自動對準。
儘管以上已示出並闡述了示例性實施例,然而對於熟習此項技術者而言將顯而易見,可對其作出潤飾及改變,而此並不背離由隨附申請專利範圍所界定的本發明的範圍。
101、301‧‧‧基板
131‧‧‧下部絕緣層
135、335‧‧‧下部內連線
140‧‧‧嵌段共聚物層
140a、340‧‧‧第一聚合物圖案層
140b、342‧‧‧第二聚合物圖案層
143‧‧‧層間絕緣層
145‧‧‧溝槽罩幕
147、347‧‧‧光阻圖案
151、351‧‧‧障壁層
153‧‧‧金屬內連線層
240‧‧‧絕緣圖案層
305‧‧‧元件隔離層
320‧‧‧閘極結構
325‧‧‧間隙壁
327‧‧‧接觸插塞
331‧‧‧第一下部絕緣層
333‧‧‧第二下部絕緣層
353‧‧‧通孔金屬層
1000‧‧‧儲存裝置
1010‧‧‧控制器
1020-1、1020-2、1020-3‧‧‧記憶體
2000‧‧‧電子元件
2010‧‧‧通訊單元
2020‧‧‧輸入單元
2030‧‧‧輸出單元
2040、3300‧‧‧記憶體
2050‧‧‧處理器
3000‧‧‧系統
3100‧‧‧控制器
3200‧‧‧輸入/輸出元件
3400‧‧‧介面
3500‧‧‧匯流排
A-A’、B-B’、C-C’、D-D’‧‧‧線
T‧‧‧溝槽
V1‧‧‧預備通孔
V2、V3‧‧‧通孔
W1‧‧‧第一寬度
W2‧‧‧第二寬度
W3‧‧‧第三寬度
結合附圖參照以下詳細說明,將更清楚地理解本發明的以上及其他態樣、特徵及其他優點,在附圖中: 圖1及圖2A至圖2C是說明根據示例性實施例的半導體元件用內連線結構的圖式。 圖3A至圖3C、圖4A至圖4C、圖5A至圖5C、圖6A至圖6C、圖7A至圖7C、圖8A至圖8D、及圖9A至圖9C是說明根據示例性實施例的半導體元件用內連線的形成方法的圖式。 圖10及圖11A至圖11C說明根據示例性實施例的半導體元件用內連線結構。 圖12A至圖12C、圖13A至圖13C、圖14A至圖14C、圖15A至圖15D、及圖16A至圖16C說明根據示例性實施例的半導體元件用內連線的形成方法。 圖17及圖18A至圖18C說明根據示例性實施例的半導體元件用內連線結構。 圖19A至圖19C、圖20A至圖20C、圖21A至圖21C、圖22A至圖22C說明根據示例性實施例的半導體元件用內連線的形成方法。 圖23是根據特定實施例的包括半導體元件的儲存裝置的方塊圖。 圖24是根據特定實施例的包括半導體元件的電子元件的方塊圖。 圖25是根據特定實施例的包括半導體元件的系統的方塊圖。
101‧‧‧基板
131‧‧‧下部絕緣層
135‧‧‧下部內連線
140‧‧‧嵌段共聚物層
140a‧‧‧第一聚合物圖案層
140b‧‧‧第二聚合物圖案層
143‧‧‧層間絕緣層
151‧‧‧障壁層
153‧‧‧金屬內連線層

Claims (10)

  1. 一種半導體元件用內連線的形成方法,包括: 提供半導體基板; 在所述半導體基板上形成下部絕緣層; 在所述下部絕緣層中及在所述半導體基板上形成下部內連線; 藉由自組裝而在所述下部內連線的上表面上形成絕緣圖案層; 在所述絕緣圖案層上形成層間絕緣層及溝槽罩幕; 在所述溝槽罩幕上形成光阻圖案,以便能夠局部暴露出所述層間絕緣層; 藉由利用所述光阻圖案移除所述層間絕緣層的一部分而形成第一通孔,以便能夠暴露出所述絕緣圖案層; 移除所述光阻圖案; 在移除所述光阻圖案後,藉由利用所述溝槽罩幕蝕刻所述層間絕緣層而形成溝槽; 藉由選擇性地蝕刻所述第一通孔內的所述絕緣圖案層而形成第二通孔,以便能夠暴露出所述下部內連線;以及 以導電材料填充所述溝槽及所述第二通孔。
  2. 如申請專利範圍第1項所述的半導體元件用內連線的形成方法,其中形成所述絕緣圖案層包括: 在所述下部內連線及所述下部絕緣層上形成嵌段共聚物層;以及 加熱所述嵌段共聚物層,以將所述嵌段共聚物層相分離成配置於所述下部內連線的上表面上的第一聚合物圖案層及配置於所述下部絕緣層的上表面上的第二聚合物圖案層。
  3. 如申請專利範圍第2項所述的半導體元件用內連線的形成方法,其中所述第一聚合物圖案層及所述第二聚合物圖案層相對於彼此具有蝕刻選擇性。
  4. 如申請專利範圍第2項所述的半導體元件用內連線的形成方法,其中所述嵌段共聚物層包含含矽的聚合物。
  5. 如申請專利範圍第1項所述的半導體元件用內連線的形成方法,其中所述第一通孔是藉由利用所述光阻圖案作為蝕刻罩幕對所述層間絕緣層的一部分進行乾式蝕刻來形成,以便能夠暴露出所述絕緣圖案層。
  6. 如申請專利範圍第1項所述的半導體元件用內連線的形成方法,其中填充所述溝槽及所述第二通孔包括: 在所述溝槽的側壁及底部上、在所述第二通孔上及在所述溝槽罩幕上形成障壁層; 在所述障壁層上形成金屬內連線層,以填充所述溝槽及所述第二通孔;以及 將欲被暴露之所述層間絕緣層平坦化。
  7. 如申請專利範圍第1項所述的半導體元件用內連線的形成方法,其中所述絕緣圖案層是藉由形成選擇性地直接連接至所述下部內連線的上表面的自組裝單層來形成。
  8. 如申請專利範圍第1項所述的半導體元件用內連線的形成方法,其中在形成所述第一通孔期間移除位於所述第一通孔內的所述絕緣圖案層的第一部分,並在形成所述第二通孔期間移除所述絕緣圖案層的第二其餘部分。
  9. 一種半導體元件用內連線的形成方法,包括: 提供半導體基板; 在所述半導體基板上形成下部絕緣層; 在所述下部絕緣層中及在所述半導體基板上形成下部內連線; 藉由自組裝而在所述下部絕緣層上形成絕緣圖案層; 藉由選擇性地蝕刻所述絕緣圖案層的第一部分而形成第一通孔; 藉由蝕刻所述絕緣圖案層的第二部分而形成第二通孔;以及 以導電材料填充所述第二通孔, 其中所述絕緣圖案層包括: 嵌段共聚物層,具有與所述下部絕緣層的頂面接觸的第一聚合物圖案及與所述下部內連線的頂面接觸的第二聚合物圖案,其中所述第一通孔是藉由相對於所述第一聚合物圖案而選擇性地蝕刻所述第二聚合物圖案的一部分來形成,或者 自組裝單層,與所述下部內連線的頂面接觸,其中所述第一通孔是藉由相對於所述下部絕緣層而選擇性地蝕刻所述自組裝單層的一部分來形成。
  10. 如申請專利範圍第9項所述的方法,更包括: 在所述絕緣圖案層上形成層間絕緣層及溝槽罩幕;以及 藉由利用所述溝槽罩幕蝕刻所述層間絕緣層而形成溝槽, 其中在以所述導電材料填充所述第二通孔的過程中,所述溝槽及所述第二通孔同時以所述導電材料填充。
TW105122671A 2015-07-31 2016-07-19 半導體元件用內連線的形成方法 TWI600059B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020150108958A KR102421731B1 (ko) 2015-07-31 2015-07-31 반도체 소자의 배선 형성 방법

Publications (2)

Publication Number Publication Date
TW201715576A TW201715576A (zh) 2017-05-01
TWI600059B true TWI600059B (zh) 2017-09-21

Family

ID=57882904

Family Applications (1)

Application Number Title Priority Date Filing Date
TW105122671A TWI600059B (zh) 2015-07-31 2016-07-19 半導體元件用內連線的形成方法

Country Status (3)

Country Link
US (1) US10079147B2 (zh)
KR (1) KR102421731B1 (zh)
TW (1) TWI600059B (zh)

Families Citing this family (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2017022293A (ja) * 2015-07-13 2017-01-26 キヤノン株式会社 固体撮像装置の製造方法
KR102582668B1 (ko) * 2018-10-01 2023-09-25 삼성전자주식회사 집적회로 소자의 제조 방법
US11637036B2 (en) 2020-01-30 2023-04-25 International Business Machines Corporation Planarization stop region for use with low pattern density interconnects
JP2022023730A (ja) * 2020-07-27 2022-02-08 株式会社Screenホールディングス 基板処理方法および基板処理装置
US11817373B2 (en) * 2021-03-26 2023-11-14 Taiwan Semiconductor Manufacturing Company Limited Semiconductor arrangement and method of making

Family Cites Families (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20030000137A (ko) * 2001-06-22 2003-01-06 주식회사 하이닉스반도체 반도체소자의 제조방법
KR20040009252A (ko) * 2002-07-23 2004-01-31 삼성전자주식회사 이중 다마신 공정에 의한 비아홀 및 트렌치 구조 및 이를형성하는 방법
US7348281B2 (en) 2003-09-19 2008-03-25 Brewer Science Inc. Method of filling structures for forming via-first dual damascene interconnects
KR20060076857A (ko) * 2004-12-29 2006-07-05 매그나칩 반도체 유한회사 반도체 소자의 금속 배선 형성 방법
US7553760B2 (en) 2006-10-19 2009-06-30 International Business Machines Corporation Sub-lithographic nano interconnect structures, and method for forming same
US7696085B2 (en) 2008-02-20 2010-04-13 International Business Machines Corporation Dual damascene metal interconnect structure having a self-aligned via
KR101027677B1 (ko) * 2008-09-09 2011-04-12 주식회사 하이닉스반도체 반도체 소자의 콘택홀 형성 방법
US8519540B2 (en) 2009-06-16 2013-08-27 International Business Machines Corporation Self-aligned dual damascene BEOL structures with patternable low- K material and methods of forming same
US8900988B2 (en) 2011-04-15 2014-12-02 International Business Machines Corporation Method for forming self-aligned airgap interconnect structures
US8394718B1 (en) 2011-09-12 2013-03-12 International Business Machines Corporation Methods of forming self-aligned through silicon via
US9086621B2 (en) 2012-04-20 2015-07-21 Asml Netherlands B.V. Methods for providing spaced lithography features on a substrate by self-assembly of block copolymers
US8779592B2 (en) 2012-05-01 2014-07-15 Taiwan Semiconductor Manufacturing Company, Ltd. Via-free interconnect structure with self-aligned metal line interconnections
US8652962B2 (en) 2012-06-19 2014-02-18 Taiwan Semiconductor Manufacturing Co., Ltd. Etch damage and ESL free dual damascene metal interconnect
US8813012B2 (en) 2012-07-16 2014-08-19 Synopsys, Inc. Self-aligned via interconnect using relaxed patterning exposure
US9153477B2 (en) * 2012-09-28 2015-10-06 Intel Corporation Directed self assembly of block copolymers to form vias aligned with interconnects
US9287162B2 (en) 2013-01-10 2016-03-15 Samsung Austin Semiconductor, L.P. Forming vias and trenches for self-aligned contacts in a semiconductor structure
US8906802B2 (en) 2013-03-15 2014-12-09 Globalfoundries Inc. Methods of forming trench/via features in an underlying structure using a process that includes a masking layer formed by a directed self-assembly process
US9219007B2 (en) 2013-06-10 2015-12-22 International Business Machines Corporation Double self aligned via patterning
US9625815B2 (en) 2013-09-27 2017-04-18 Intel Corporation Exposure activated chemically amplified directed self-assembly (DSA) for back end of line (BEOL) pattern cutting and plugging
WO2015047320A1 (en) * 2013-09-27 2015-04-02 Intel Corporation Self-aligned via and plug patterning for back end of line (beol) interconnects

Also Published As

Publication number Publication date
US20170033006A1 (en) 2017-02-02
KR20170015790A (ko) 2017-02-09
KR102421731B1 (ko) 2022-07-18
US10079147B2 (en) 2018-09-18
TW201715576A (zh) 2017-05-01

Similar Documents

Publication Publication Date Title
TWI600059B (zh) 半導體元件用內連線的形成方法
JP6548377B2 (ja) 集積回路素子及びその製造方法
US9214411B2 (en) Integrated circuit devices including a through-silicon via structure and methods of fabricating the same
CN105280611B (zh) 3dic互连器件及其形成方法
US20130020719A1 (en) Microelectronic devices including through silicon via structures having porous layers
US8872354B2 (en) Method of forming through silicon via of semiconductor device using low-K dielectric material
KR102399345B1 (ko) 반도체 장치의 제조 방법
CN102569173B (zh) 制造半导体装置的方法
KR101692434B1 (ko) 반도체 소자 및 그 제조 방법
JP6317465B2 (ja) 導電性バリア層の選択的形成
CN101138072B (zh) 具有沟道内铜漂移阻挡层的单掩膜mim电容器和电阻器
CN109285825B (zh) 芯片堆叠结构及管芯堆叠结构的制造方法
TWI768208B (zh) 半導體晶片及其製造方法
US20130175673A1 (en) Integrated circuit devices including through-silicon-vias having integral contact pads
US11380606B2 (en) Semiconductor device including via structure with head and body portions
KR20170090018A (ko) 반도체 장치 및 반도체 장치의 제조 방법
KR100718804B1 (ko) 반도체 소자 및 그 제조 방법
KR20150019089A (ko) 관통전극을 갖는 반도체 소자 및 그 제조방법
US11315904B2 (en) Semiconductor assembly and method of manufacturing the same
KR20150116137A (ko) 자기정렬된 보호막으로 캡핑된 관통전극을 갖는 반도체 소자 및 그 제조방법
US7241706B2 (en) Low k ILD layer with a hydrophilic portion
CN113611658A (zh) 一种半导体元件