KR100718804B1 - 반도체 소자 및 그 제조 방법 - Google Patents

반도체 소자 및 그 제조 방법 Download PDF

Info

Publication number
KR100718804B1
KR100718804B1 KR1020050109011A KR20050109011A KR100718804B1 KR 100718804 B1 KR100718804 B1 KR 100718804B1 KR 1020050109011 A KR1020050109011 A KR 1020050109011A KR 20050109011 A KR20050109011 A KR 20050109011A KR 100718804 B1 KR100718804 B1 KR 100718804B1
Authority
KR
South Korea
Prior art keywords
layer
copper
diffusion barrier
metal
semiconductor device
Prior art date
Application number
KR1020050109011A
Other languages
English (en)
Inventor
이재석
Original Assignee
동부일렉트로닉스 주식회사
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 동부일렉트로닉스 주식회사 filed Critical 동부일렉트로닉스 주식회사
Priority to KR1020050109011A priority Critical patent/KR100718804B1/ko
Priority to US11/559,724 priority patent/US7670948B2/en
Application granted granted Critical
Publication of KR100718804B1 publication Critical patent/KR100718804B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76846Layer combinations
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/7685Barrier, adhesion or liner layers the layer covering a conductive structure
    • H01L21/76852Barrier, adhesion or liner layers the layer covering a conductive structure the layer also covering the sidewalls of the conductive structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76865Selective removal of parts of the layer

Abstract

본 발명은 반도체 소자에 관한 것으로, 특히 구리 배선에서 패드부로 구리가 확산(diffusion)되는 것을 방지할 수 있는 반도체 소자 및 그 제조 방법에 관한 것이다.
본 발명에 따르면, 반도체 소자의 최상층 구리 금속 배선과 접속되는 본딩 패드 구조에서, 상기 구리 금속 배선의 상부에는 제 2 확산 방지막을 사이에 두고 알루미늄 금속 패드가 형성되어 있으며, 상기 구리 금속 배선의 돌출된 측면에는 제 1 확산 방지막이 형성되어 있어 상기 알루미늄 금속 패드로의 구리 확산을 수평, 수직 방향으로 완전히 차단할 수 있어 양질의 금속 패드를 형성할 수 있게 된다.
따라서, 본 발명은 반도체 소자에서 구리 금속 배선에서 알루미늄 패드로 구리가 확산되는 것을 방지하여 본딩 불량을 일으키지 않는 반도체 소자 및 그 제조 방법을 제공할 수 있다.
반도체 소자, 본딩 패드, 구리 확산, 알루미늄

Description

반도체 소자 및 그 제조 방법{semiconductor device and the fabrication method thereof}
도 1은 종래 기술에 따른 반도체 소자에서 구리 금속 배선 상에 본딩 패드를 형성하는 공정의 일부분을 보여주는 도면.
도 2a 내지 도 2g는 본 발명에 따른 반도체 소자의 제조 공정을 보여주는 순서도.
<도면의 주요부분에 대한 부호 설명>
101 : 층간 절연막 103 : 배리어 메탈층
105 : 구리 금속 배선 107 : 제 1 확산 방지막
109 : 제 2 확산 방지막 110 : 금속 패드
120 : 보호막 151 : 제 1 포토 레지스트 패턴
본 발명은 반도체 소자에 관한 것으로, 특히 구리 배선에서 패드부로 구리가 확산(diffusion)되는 것을 방지할 수 있는 반도체 소자 및 그 제조 방법에 관한 것이다.
최근에는 컴퓨터와 같은 정보 매체의 급속한 보급에 따라 반도체 장치도 비약적으로 발전하고 있다. 그 기능 면에 있어서, 상기 반도체 장치는 고속으로 동작하는 동시에 대용량의 저장 능력과 정보 처리 능력을 가질 것이 요구된다. 이러한 요구에 부응하여, 상기 반도체 장치는 집적도, 신뢰도 및 응답 속도 등을 향상시키는 방향으로 제조 기술이 급발전되고 있다.
이와 같이, 고집적화되는 반도체 소자의 제조 공정에서 다층 금속 배선으로 차세대 금속 배선 재료인 구리(Cu)가 고려되고 있는데, 상기 구리를 이용한 금속 배선은 소자의 동작 속도나 저항, 금속 간의 기생 용량 등의 특성이 우수하나 식각 특성이 매우 열악하여 기존의 식각 공정 대신 다마신(damascene)공정을 주로 이용하고 있다.
상기 다마신 공정을 이용한 반도체 제조 방법은 평평한 층간 절연막에 트렌치를 형성하는 우선 식각(first etching)에 의해 상호 연결선을 만들고, 그다음 생성된 트렌치에 구리 금속을 채우는 단계를 포함하는 제조 기술이다.
이와 같이 형성된 구리 금속 배선이 다층으로 형성되어 하나의 반도체 소자를 이루는데, 이와 같은 반도체 소자와 패키지를 연결해주기 위하여 최상층 구리 금속 배선과 본딩되는 본딩 패드가 형성되어야 한다.
통상적으로 본딩패드는 반도체 소자와 패키지를 연결해주는 단자로서의 역할을 하는 것으로, 소자의 최상층 구리 금속 배선이 일정 부분 노출된 패드를 패키지 후 핀(pin)으로 사용되는 부분과 상호 연결시켜주는 본딩 작업을 통해 반도체 소자의 배선을 전원 공급장치와 같은 외부와 전기적으로 접속하는 것이다.
이러한 본딩패드를 이루는 금속박막으로 구리를 사용할 경우, 구리의 강한 부식성으로 인해 대기 중에 노출시 본딩 자체가 어려워지는 문제점이 있어서, 구리의 낮은 저항값으로 인한 소자의 구동 속도 증가와 같은 장점이 있음에도 불구하고 본딩 패드로 구리를 사용하는 것이 거의 불가능한 실정이며, 구리를 사용한다 하여도 본딩 불량률이 매우 높은 문제점이 있었다.
도 1은 종래 기술에 따른 반도체 소자에서 구리 금속 배선 상에 본딩 패드를 형성하는 공정의 일부분을 보여주는 도면이다.
도 1에 도시된 바와 같이, 반도체 기판에 층간 절연막(11)이 형성되어 있다.
상기 반도체 기판은 웰 및 접합부가 형성된 반도체 기판이거나, 다층 금속 배선 구조에서 하부 금속 배선이거나, 기타 반도체 소자의 전극으로 사용되는 도전성 패턴을 포함하고 있다.
그리고, 상기 층간 절연막(11)의 소정 영역에는 비아홀이 형성된다. 여기서, 상기 층간 절연막(11)이 더 제거되어 상기 비아홀과 함께 트렌치도 형성할 수 있다.
그리고, 상기 비아홀과 트렌치로 형성된 다마신 패턴에 대하여 에싱 및 클리닝 공정을 수행한 후, Ta/TaN, Ti/TiN 등과 같은 배리어 메탈(barrier metal)(13)을 확산 방지막으로 다마신 패턴 측벽 및 바닥에 증착시킨 후 구리(Cu)를 증착시키고 평탄화시켜 구리 금속 배선(15)을 형성하게 된다.
그런데, 상기 구리 금속 배선(15)과 층간 절연막(11)을 평탄화하고 터치업(touch up)하는 과정에서 상기 구리 금속 배선(15)이 층간 절연막보다 소정 돌출되 는 형상의 단차가 형성된다. 이는 구리 금속 배선(15)을 형성하기 위하여 기계적, 화학적 방법으로 평탄화하는 공정중에, 상기 층간 절연막(11) 상에 구리 금속 배선(15)이 완전히 제거되지 않아 쇼트가 발생하는 문제를 방지하기 위하여 상기 층간 절연막(11)을 좀 더 식각하기 때문이다.
따라서, 상기 구리 금속 배선(15) 상에 확산 방지막(17) 및 알루미늄 금속 층(19)을 형성하게 되면 상기 구리 금속 배선(15)의 단차부분(A)에서 구리가 알루미늄 금속층(19)으로 도시된 화살표(→)와 같이 수평확산하게 되는 문제가 발생한다. 이는 구리 금속 배선(15)과 알루미늄 금속층(19) 사이에 형성된 Ta/TaN, Ti/TiN 등으로 이루어진 확산 방지막이 주상(柱狀) 구조(columnar structure)로 성장하며 형성되기 때문에 구리 금속 배선(15)에서 수평 방향으로 위치한 알루미늄 금속층(19)으로의 구리 확산에 대해서 취약하기 때문이다.
이와 같이 일단 알루미늄 금속층(19)으로 확산된 구리는 알루미늄 금속층(19) 전체로 확산되어 나가기 때문에 후속 공정으로 상기 알루미늄 금속층을 패터닝하여 알루미늄 패드를 형성한다 하더라도 본딩 패드 품질이 저하되는 문제점이 발생한다.
즉, 추후 반도체 소자의 패키징 공정시 알루미늄 금속 내의 그레인 바운더리(grain boundary)등에 확산된 구리가 석출되어 와이어 본딩을 방해하는 문제를 발생시킨다.
본 발명은 반도체 소자에서 구리 금속 배선에서 알루미늄 패드로 구리가 확 산되는 것을 방지하여 본딩 불량을 일으키지 않는 반도체 소자 및 그 제조 방법을 제공하는 데 목적이 있다.
상기한 목적을 달성하기 위하여 본 발명에 따른 반도체 소자는, 기판 상에 형성된 층간 절연막; 상기 층간 절연막에 형성된 구리 배선; 상기 층간 절연막과 구리 배선 사이에 형성된 배리어 메탈층; 상기 층간 절연막 상에서 상기 구리 배선 상부를 노출시키며 형성된 제 1 확산 방지막; 상기 제 1 확산 방지막과 상기 구리 배선 상에 형성된 제 2 확산 방지막; 및, 상기 제 2 확산 방지막 상에 형성된 알루미늄 패드를 포함한다.
상기 제 1 확산 방지막의 두께는 100 ~ 1000Å인 것을 특징으로 한다.
상기 제 1 확산 방지막은 루테늄/산화루테늄(Ru/RuO2) 또는 산화루테늄(RuO2) 단층으로 이루어진 것을 특징으로 한다.
또한, 상기한 목적을 달성하기 위하여 본 발명에 따른 반도체 소자의 제조 방법은, 구리 금속 배선을 포함하는 기판을 형성하는 단계와; 상기 구리 금속 배선 상부에 제 1 확산 방지막을 형성하는 단계와; 상기 구리 금속 배선 상부를 노출시키도록 상기 제 1 확산 방지막을 선택적식각하는 단계와; 상기 제 1 확산 방지막과 노출된 구리 금속 배선 상에 제 2 확산 방지막과 알루미늄 금속층을 형성하는 단계와; 상기 알루미늄 금속층과 제 1, 2 확산 방지막을 사진 식각하여 금속 패드를 형성하는 단계를 포함한다.
상기 구리 금속 배선 상부에 제 1 확산 방지막을 형성하는 단계는, 상기 구리 금속 배선 상부에 루테늄(Ru)층을 형성하는 단계와; 상기 루테늄층 표면에 산화루테늄(RuO2)층을 형성하는 단계를 더 포함한다.
상기 루테늄(Ru)층은 스퍼터링(sputtering)으로 형성한다.
상기 산화루테늄(RuO2)층은 상기 루테늄층을 산소 플라즈마 처리를 하여 형성하거나 스퍼터링으로 형성한다.
상기 구리 금속 배선 상부에 제 1 확산 방지막을 형성하는 단계는, 상기 구리 금속 배선 상부에 루테늄(Ru)층을 형성하는 단계와; 상기 루테늄층을 산소 플라즈마 처리하여 산화루테늄(RuO2)층으로 변화시키는 단계를 더 포함한다.
이하, 첨부한 도면을 참조로 하여 본 발명에 따른 반도체 소자에 대해서 구체적으로 설명한다.
도 2a 내지 도 2g는 본 발명에 따른 반도체 소자의 제조 공정을 보여주는 순서도이다.
도 2a에 도시된 바와 같이, 기판 상에 층간 절연막(interlevel dielectric)(101)을 증착한다.
여기서, 상기 기판은 도시되지 않았지만 웰 및 접합부가 형성된 반도체 기판 상에 다층 금속 배선이 형성된 구조를 가진 의미로 사용된다.
상기 층간 절연막(101)의 중간 영역에는 식각 선택비를 가지는 식각 방지막이 형성될 수도 있다.
상기 기판에는 다층 금속 배선 구조에서 하부 금속 배선이 형성되어 있을 수도 있으며, 상기 층간 절연막(101)을 관통하여 상기 하부 금속 배선과 이후 형성될 구리 금속 배선이 접속되는 구조로 형성될 수 있다.
상기 층간 절연막(101)은 PVD(physical vapor deposition), CVD(chemical vapor deposition)이나 스핀 온(spin-on) 기법, PECVD(plasma enhanced chemical vapor deposition), HDP(high density plasma) CVD 등의 다양한 방법으로 저 유전율을 갖는 물질을 증착하여 형성한다.
상기 층간 절연막(101)은 BPSG(boron phosphorus-doped silicon oxide), PSG(phosphorus-doped silicon oxide), USG(un-doped silicate glass), TEOS(tetra ethyl ortho silicate), FSG(fluorine-doped silicate glass) 등과 같은 절연 물질을 사용 목적에 따라 선택 사용하여 형성될 수 있다.
상기 층간 절연막(101)에 포토 공정을 이용하여 RIE(Reactive Ion Etching)와 같은 건식 식각을 통해 비아홀과 트렌치를 형성할 수 있다.
그리고, 상기 층간 절연막(101) 상에 배리어 메탈(103)을 증착시켜 상기 비아홀과 트렌치 내부에도 배리어 메탈층(103)을 형성한다.
상기 배리어 메탈층(103)은 Ta, TaN, TaAlN, TaSiN, Ti, TiN, WN, TiSiN 등의 그룹에서 선택되어진 물질로 단층 또는 복층으로 이루어질 수 있다.
그리고, 상기 배리어 메탈층(103)을 확산 방지막으로 구리(Cu)를 증착시켜 구리 금속층을 형성한다.
이후, 상기 구리 금속층을 평탄화시켜 상기 비아홀과 트렌치 내에 구리 금속 배선(105)을 형성한다.
즉, 상기 구리 금속층을 화학적 기계적 연마(chemical mechanical polishing)하여 상기 비아홀 내에 잔존하는 구리 금속 배선(105)을 형성한다.
상기 구리 금속층 하부에 형성된 배리어 메탈층(103)도 이 단계에서 함께 연마되어 제거된다.
한편, 상기 구리 금속 배선(105)과 층간 절연막(101)을 평탄화하고 터치업(touch up)하는 과정에서 상기 구리 금속 배선(105)이 층간 절연막(101)보다 소정 돌출되는 형상의 단차가 형성된다. 이는 구리 금속 배선(105)을 형성하기 위하여 화학적 기계적 연마 방법으로 평탄화하는 공정중에, 상기 층간 절연막(101) 상에 구리 금속 배선(105)이 완전히 제거되지 않아 쇼트(short)가 발생하는 문제를 방지하기 위하여 상기 층간 절연막(101)을 좀 더 식각하기 때문이다.
상기와 같이 형성되는 구리 금속 배선(105)이 반도체 소자에서 최상층 구리 금속 배선일 경우 후속 공정으로 형성될 금속 패드와 연결되게 된다.
통상, 상기 금속 패드로 알루미늄(Al) 계열 금속을 사용하며, 상기 알루미늄 계열의 금속 패드는 추후 와이어 본딩(wire bonding)시 접착성이 좋은 장점이 있다.
그러므로, 도 2b에 도시된 바와 같이, 상기와 같이 구리 금속 배선(105)이 형성된 층간 절연막(101) 상에 구리의 확산을 방지하기 위한 제 1 확산 방지막(107)을 형성한다.
상기 제 1 확산 방지막(107)은 상기 층간 절연막(101) 상에 루테늄(Ru)을 스 퍼터링(sputtering)등의 방법으로 증착시키고, 상기 루테늄 표층을 산소(O2) 플라즈마 처리를 하여 루테늄/산화루테늄(Ru/RuO2) 구조로 형성한다.
이때, 상기 제 1 확산 방지막(107)의 두께는 100~1000Å으로 형성하는 것이 바람직하며, 산소 플라즈마 처리를 위한 가스로는 산소(O2) 또는 산화질소(N2O) 등의 산소를 포함하는 가스를 이용한다.
한편, 상기 제 1 확산 방지막(107)은 상기 층간 절연막(101) 상에 루테늄(Ru)과 산소(O2)를 RF 스퍼터링법을 이용하여 산화루테늄(RuO2) 구조로 형성할 수도 있다.
또한, 제 1 확산 방지막(107)은 상기 층간 절연막(101) 상에 루테늄(Ru)을 스퍼터링(sputtering)등의 방법으로 증착시키고, 상기 루테늄층을 산소(O2) 플라즈마 처리를 하여 산화루테늄(Ru/RuO2)층으로 변화시켜 단일 구조로 형성할 수도 있다.
이때, 산화루테늄(RuO2)은 산화물 구조로서 특정한 방향성을 가지지 않으므로 구리 확산을 효과적으로 방지할 수 있다.
이어서, 도 2c에 도시된 바와 같이, 상기 제 1 확산 방지막(107) 상에 제 1 포토 레지스트 패턴(151)을 형성한다.
상기 포토 레지스트는 빛에 민감한 반응을 보이는 감응 물질과, 박막을 형성하는 합성 수지 물질(resin)과, 합성 수지 물질을 녹이는 용제(solvent) 등으로 이 루어지며, 상기 감응 물질이 빛을 받으면 광자에 의해 중합체가 단위체로 절단되어 현상액에 용해되는 물질로 변화하는 양성 포토 레지스트(positive photoresist)와, 상기 감응 물질이 노출된 빛에 의해 현상액에 녹지 않는 불용성의 중합체로 변화하는 음성 포토 레지스트(negative photoresist)가 있다.
따라서, 상기와 같이 제 1 확산 방지막(107) 상에는 양성 포토 레지스트 또는 음성 포토 레지스트를 이용하여 일부 노광되어 현상된 제 1 포토 레지스트 패턴(151)을 형성한다.
그리고, 도 2d에 도시된 바와 같이, 상기 제 1 포토 레지스트 패턴(151)을 마스크로 하여 노출된 제 1 확산 방지막(107)을 선택적 식각하여 상기 구리 금속 배선(105) 상부를 노출시킨다.
따라서, 상기 제 1 확산 방지막(107)은 소정 돌출된 구리 금속 배선(105)의 단차부에 접속되어 수평 방향으로 형성되며, 상기 제 1 확산 방지막(107)은 주로 구리 금속 배선(105)의 측벽(sidewall)에서의 수평 확산을 방지하는 역할을 한다.
또한, 상기 제 1 확산 방지막(107)은 상기 구리 금속 배선(105) 상부에는 형성되지 않도록 패터닝함으로써 추후 형성될 금속 패드와 구리 금속 배선 사이의 접속 저항을 줄일 수 있도록 한다.
이어서, 도 2e에 도시된 바와 같이, 상기 제 1 확산 방지막(107)과 노출된 구리 금속 배선(105) 상에 제 2 확산 방지막(109)을 형성한다.
상기 제 2 확산 방지막(109)은 루테늄(Ru)을 스퍼터링(sputtering)등의 방법 으로 증착시키고, 연속 공정(in situ)으로 상기 루테늄 표층을 산소(O2) 플라즈마 처리를 하여 루테늄/산화루테늄(Ru/RuO2) 구조로 형성하거나, 루테늄(Ru)과 산소(O2)를 RF 스퍼터링법을 이용하여 산화루테늄(RuO2) 구조로 형성할 수도 있다.
또한, 상기 제 2 확산 방지막(109)은 Ta, TaN, TaAlN, TaSiN, Ti, TiN, WN, TiSiN 등의 그룹에서 선택되어진 적어도 하나의 물질로 이루어질 수 있다.
그리고, 상기 제 2 확산 방지막(109) 상에 PVD(Physical Vapour Deposition) 방식 그 중에서 스퍼터링(sputtering) 혹은 증기(evaporation) 방법 또는 CVD 방법으로 알루미늄층(110a)을 형성한다.
이때, 상기 알루미늄층(110a)에는 미량의 구리(Cu)가 추가되어 형성될 수도 있다.
이후, 도 2f에 도시된 바와 같이, 상기 알루미늄층(110a) 상에 제 2 포토 레지스트 패턴(도시되지 않음)을 형성하고 식각하여 금속 패드(110)를 형성한다.
구체적으로, 상기 알루미늄층(110a) 상에 제 2 포토 레지스트 패턴을 형성하고 이를 마스크로 하여 상기 알루미늄층(110a)을 RIE(Reactive Ion Etching) 또는 플라즈마 식각 등과 같은 건식 식각을 통하여 식각함으로써 알루미늄 금속 패드(110)를 형성한다.
그리고, 상기 알루미늄층(110a) 하부에 형성된 제 1, 2 확산 방지막(107, 109)도 건식 식각된다.
그러므로, 상기 구리 금속 배선(105)의 상부에는 제 2 확산 방지막(109)을 사이에 두고 알루미늄 금속 패드(110)가 형성되어 있으며, 상기 구리 금속 배선(105)의 돌출된 측면에는 제 1 확산 방지막(107)이 형성되어 있으므로, 상기 알루미늄 금속 패드(110)로의 구리 확산을 수평, 수직 방향으로 완전히 차단할 수 있어 양질의 금속 패드(110)를 형성할 수 있게 된다.
한편, 상기 금속 패드(110)는 단층으로 형성될 수도 있고 다층으로 구성된 금속 패드(110)로 형성될 수도 있다.
상기 금속 패드(110)는 추후 와이어 본딩(wire bonding)을 위한 본딩 패드로 사용될 수 있다.
이후, 도 2g에 도시된 바와 같이, 상기 금속 패드(110) 상에 보호막(120)을 형성하고 상기 금속 패드(110)를 오픈하는 공정을 수행한다.
상기 알루미늄 금속 패드(110)가 형성된 기판 상에 CVD 방법, 스핀 온 방법 등을 이용하여 상기 금속 패드(110)를 보호하기 위한 보호막(120), 예를 들어 실리콘 산화막 또는 실리콘 질화막 등의 절연막을 적층시킨다. 물론, 상기 절연막 상에 폴리이미드층 등을 추가로 형성시키는 것도 가능하다.
이어서, 포토 레지스트 패턴을 이용한 사진식각공정을 이용하여 상기 금속 패드(110) 상의 보호막(120)을 부분적으로 건식식각하여 제거시킨다.
이로써, 상기 금속 패드(110)를 노출시키는 개구를 형성하며, 상기 개구를 통해 상기 금속 패드(110)에 와이어 본딩(wire bonding)이 이루어진다.
따라서, 상기와 같이 제조되는 반도체 소자는 최상층의 구리 금속 배선(105)과 알루미늄 금속 패드(110) 사이에 제 1, 2 확산 방지막(107, 109)을 형성함으로 써 수평, 수직 방향으로의 구리 확산을 방지하여 양호한 품질의 금속 패드(110)를 형성하여 와이어 본딩이 원활히 이루어질 수 있도록 하며, 반도체 소자에 대한 제품 신뢰성을 향상시킬 수 있다.
또한, 상기 구리 금속 배선(105) 상부에는 제 1 확산 방지막(107)은 형성되지 않고 제 2 확산 방지막(109)만 형성되어 있으므로 구리 확산을 방지할 수 있을 뿐만 아니라, 상기 금속 패드(110)와 구리 금속 배선(105) 사이의 접속 저항을 줄일 수 있다.
이상, 본 발명을 구체적인 실시예를 통하여 상세히 설명하였으나, 이는 본 발명을 구체적으로 설명하기 위한 것으로, 본 발명에 따른 반도체 소자 및 그 제조 방법은 이에 한정되지 않으며, 본 발명의 기술적 사상 내에서 당 분야의 통상의 지식을 가진 자에 의해 그 변형이나 개량이 가능함이 명백하다.
본 발명은 반도체 소자의 구리 금속 배선과 알루미늄 패드간의 접속 구조에서 구리의 확산을 방지하여 양호한 품질의 패드를 형성함으로써 와이어 본딩이 원활히 이루어지고 불량 발생을 방지하는 효과가 있다.
또한, 본 발명은 반도체 소자의 수명(life-time)내에서 구리 배선의 구리가 알루미늄 금속 패드로 확산되는 현상을 차단하므로 제품의 신뢰성을 향상시키는 효과가 있다.

Claims (8)

  1. 기판 상에 형성된 층간 절연막;
    상기 층간 절연막에 형성된 구리 배선;
    상기 층간 절연막과 구리 배선 사이에 형성된 배리어 메탈층;
    상기 층간 절연막 상에서 상기 구리 배선 상부를 노출시키며 형성된 제 1 확산 방지막;
    상기 제 1 확산 방지막과 상기 구리 배선 상에 형성된 제 2 확산 방지막; 및
    상기 제 2 확산 방지막 상에 형성된 알루미늄 패드를 포함하며,
    상기 구리 배선은 층간 절연막과 단차를 가지도록 높게 형성되고, 상기 층간 절연막 상에 형성된 제 1 확산 방지막은 상기 구리 배선의 측벽에 형성된 것을 특징으로 하는 반도체 소자.
  2. 제 1항에 있어서,
    상기 제 1 확산 방지막의 두께는 100 ~ 1000Å인 것을 특징으로 하는 반도체 소자.
  3. 제 1항에 있어서,
    상기 제 1 확산 방지막은 루테늄/산화루테늄(Ru/RuO2) 또는 산화루테늄(RuO2) 단층으로 이루어진 것을 특징으로 하는 반도체 소자.
  4. 기판 상에 형성된 층간 절연막의 비아홀 및 트렌치에 배리어 메탈을 형성시키는 단계;
    상기 배리어 메탈 상에 구리 금속층을 형성하는 단계;
    상기 구리 금속층을 CMP 공정으로 평탄화하여 상기 구리 금속 배선을 형성하며 상기 구리 금속 배선은 층간 절연막보다 돌출되도록 상기 층간 절연막과 상기 배리어 메탈을 CMP 공정에 의해 식각하는 단계;
    상기 구리 금속 배선 상부에 제 1 확산 방지막을 형성하는 단계와;
    상기 구리 금속 배선 상부를 노출시키고 상기 구리 금속 배선의 측벽에 형성되도록 상기 제 1 확산 방지막을 선택적으로 식각하는 단계와;
    상기 제 1 확산 방지막과 노출된 구리 금속 배선 상에 제 2 확산 방지막과 알루미늄 금속층을 형성하는 단계와;
    상기 알루미늄 금속층과 제 1, 2 확산 방지막을 사진 식각하여 금속 패드를 형성하는 단계를 포함하는 반도체 소자의 제조 방법.
  5. 제 4항에 있어서,
    상기 구리 금속 배선 상부에 제 1 확산 방지막을 형성하는 단계는,
    상기 구리 금속 배선 상부에 루테늄(Ru)층을 형성하는 단계와;
    상기 루테늄층 표면에 산화루테늄(RuO2)층을 형성하는 단계를 더 포함하는 반도체 소자의 제조 방법.
  6. 제 5항에 있어서,
    상기 루테늄(Ru)층은 스퍼터링(sputtering)으로 형성하는 반도체 소자의 제조 방법.
  7. 제 5항에 있어서,
    상기 산화루테늄(RuO2)층은 상기 루테늄층을 산소 플라즈마 처리를 하여 형성하거나 스퍼터링으로 형성하는 반도체 소자의 제조 방법.
  8. 제 4항에 있어서,
    상기 구리 금속 배선 상부에 제 1 확산 방지막을 형성하는 단계는,
    상기 구리 금속 배선 상부에 루테늄(Ru)층을 형성하는 단계와;
    상기 루테늄층을 산소 플라즈마 처리하여 산화루테늄(RuO2)층으로 변화시키는 단계를 더 포함하는 반도체 소자의 제조 방법.
KR1020050109011A 2005-11-15 2005-11-15 반도체 소자 및 그 제조 방법 KR100718804B1 (ko)

Priority Applications (2)

Application Number Priority Date Filing Date Title
KR1020050109011A KR100718804B1 (ko) 2005-11-15 2005-11-15 반도체 소자 및 그 제조 방법
US11/559,724 US7670948B2 (en) 2005-11-15 2006-11-14 Semiconductor device having diffusion barriers and a method of preventing diffusion of copper in a metal interconnection of a semiconductor device

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
KR1020050109011A KR100718804B1 (ko) 2005-11-15 2005-11-15 반도체 소자 및 그 제조 방법

Publications (1)

Publication Number Publication Date
KR100718804B1 true KR100718804B1 (ko) 2007-05-16

Family

ID=38041495

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020050109011A KR100718804B1 (ko) 2005-11-15 2005-11-15 반도체 소자 및 그 제조 방법

Country Status (2)

Country Link
US (1) US7670948B2 (ko)
KR (1) KR100718804B1 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101090372B1 (ko) * 2009-10-30 2011-12-07 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
KR20120106545A (ko) * 2011-03-18 2012-09-26 삼성전자주식회사 금속 확산 방지막을 갖는 다마신 배선을 포함하는 집적 회로 장치 및 그 방법에 의해 제조된 장치
KR101423349B1 (ko) * 2006-08-30 2014-07-24 램 리써치 코포레이션 구리와 배리어층 간의 접착력을 개선하는 자기조립 단층

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8508018B2 (en) * 2010-09-24 2013-08-13 Intel Corporation Barrier layers
CN113782430A (zh) * 2020-06-09 2021-12-10 盛美半导体设备(上海)股份有限公司 去除阻挡层的方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000008543A (ko) * 1998-07-14 2000-02-07 윤종용 앵커 형상의 비아 콘택 형성방법
JP2002353221A (ja) * 2001-05-29 2002-12-06 Sony Corp 半導体装置およびその製造方法

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6232215B1 (en) * 1996-09-18 2001-05-15 United Microelectronics Corp. Method for forming increased density for interconnection metallization
KR100272172B1 (ko) * 1998-10-16 2000-11-15 윤종용 반도체장치의 커패시터 및 그 제조방법
JP2003209134A (ja) * 2002-01-11 2003-07-25 Hitachi Ltd 半導体装置及びその製造方法
JP4316188B2 (ja) * 2002-05-29 2009-08-19 富士通マイクロエレクトロニクス株式会社 半導体装置及びその製造方法
US7247554B2 (en) * 2002-07-02 2007-07-24 University Of North Texas Method of making integrated circuits using ruthenium and its oxides as a Cu diffusion barrier

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20000008543A (ko) * 1998-07-14 2000-02-07 윤종용 앵커 형상의 비아 콘택 형성방법
JP2002353221A (ja) * 2001-05-29 2002-12-06 Sony Corp 半導体装置およびその製造方法

Cited By (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101423349B1 (ko) * 2006-08-30 2014-07-24 램 리써치 코포레이션 구리와 배리어층 간의 접착력을 개선하는 자기조립 단층
KR101090372B1 (ko) * 2009-10-30 2011-12-07 주식회사 하이닉스반도체 반도체 소자의 금속 배선 형성방법
KR20120106545A (ko) * 2011-03-18 2012-09-26 삼성전자주식회사 금속 확산 방지막을 갖는 다마신 배선을 포함하는 집적 회로 장치 및 그 방법에 의해 제조된 장치
KR101906213B1 (ko) * 2011-03-18 2018-10-12 삼성전자 주식회사 금속 확산 방지막을 갖는 다마신 배선을 포함하는 집적 회로 장치 및 그 방법에 의해 제조된 장치

Also Published As

Publication number Publication date
US20070111524A1 (en) 2007-05-17
US7670948B2 (en) 2010-03-02

Similar Documents

Publication Publication Date Title
US11398405B2 (en) Method and apparatus for back end of line semiconductor device processing
KR100385227B1 (ko) 구리 다층 배선을 가지는 반도체 장치 및 그 형성방법
US7205636B2 (en) Semiconductor device with a multilevel interconnection connected to a guard ring
US10020259B2 (en) Copper etching integration scheme
KR102292360B1 (ko) 반도체 디바이스의 상호접속 구조체 내의 기능 콤포넌트 및 그 형성 방법
JPH1092925A (ja) 半導体構成要素および製造方法
US8164196B2 (en) Semiconductor device and method for manufacturing the same
US7436009B2 (en) Via structures and trench structures and dual damascene structures
KR100718804B1 (ko) 반도체 소자 및 그 제조 방법
US6501180B1 (en) Structure and method for controlling copper diffusion and for utilizing low K materials for copper interconnects in integrated circuit structures
US6638849B2 (en) Method for manufacturing semiconductor devices having copper interconnect and low-K dielectric layer
US20070194448A1 (en) Semiconductor interconnection line and method of forming the same
US6313037B1 (en) Semiconductor device and method for manufacturing the same
JP3525788B2 (ja) 半導体装置の製造方法
KR100590205B1 (ko) 반도체 장치의 배선 구조체 및 그 형성 방법
KR100571416B1 (ko) 반도체 소자의 다층 금속 배선 형성 방법
US7083899B2 (en) Method for manufacturing a semiconductor device
KR100640948B1 (ko) 반도체 소자의 패드 형성방법
KR100579856B1 (ko) 반도체 소자의 금속 배선 형성 방법
US20080157382A1 (en) Direct termination of a wiring metal in a semiconductor device
KR100574645B1 (ko) 텅스텐 플러그 형성 방법
US7659603B2 (en) Semiconductor and method for manufacturing the same
KR100846993B1 (ko) 반도체 소자의 배선 형성 방법
CN116741727A (zh) 半导体结构及其形成方法
KR100613375B1 (ko) 반도체 소자의 구리 배선 및 그 형성 방법

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20120417

Year of fee payment: 6

LAPS Lapse due to unpaid annual fee