KR101423349B1 - 구리와 배리어층 간의 접착력을 개선하는 자기조립 단층 - Google Patents

구리와 배리어층 간의 접착력을 개선하는 자기조립 단층 Download PDF

Info

Publication number
KR101423349B1
KR101423349B1 KR1020097004315A KR20097004315A KR101423349B1 KR 101423349 B1 KR101423349 B1 KR 101423349B1 KR 1020097004315 A KR1020097004315 A KR 1020097004315A KR 20097004315 A KR20097004315 A KR 20097004315A KR 101423349 B1 KR101423349 B1 KR 101423349B1
Authority
KR
South Korea
Prior art keywords
layer
copper
barrier layer
metallic barrier
depositing
Prior art date
Application number
KR1020097004315A
Other languages
English (en)
Other versions
KR20090045302A (ko
Inventor
프라빈 날라
윌리엄 티
존 보이드
티루히라팔리 아루나기리
형석 알렉산더 윤
프리츠 씨 레데커
예즈디 도르디
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US11/514,038 external-priority patent/US8241701B2/en
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20090045302A publication Critical patent/KR20090045302A/ko
Application granted granted Critical
Publication of KR101423349B1 publication Critical patent/KR101423349B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/3205Deposition of non-insulating-, e.g. conductive- or resistive-, layers on insulating layers; After-treatment of these layers
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/06Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material
    • C23C16/18Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of metallic material from metallo-organic compounds
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/288Deposition of conductive or insulating materials for electrodes conducting electric current from a liquid, e.g. electrolytic deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76856After-treatment introducing at least one additional element into the layer by treatment in plasmas or gaseous environments, e.g. nitriding a refractory metal liner
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76861Post-treatment or after-treatment not introducing additional chemical elements into the layer

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Electrodes Of Semiconductors (AREA)

Abstract

실시형태들은 얇고 컨포멀한 배리어층과, 일렉트로마이그레이션 성능이 우수하고 구리 상호접속부의 스트레스-유도 보이딩의 위험을 감소시키는 구리 상호접속부 내의 구리층의 증착을 가능하케 하는 요구를 충족시킨다. 배리어층과 구리층 간의 접착력에 의해 일렉트로마이그레이션과 스트레스-유도 보이딩은 영향을 받는다. 배리어층 상에는 기능화층이 증착되어 구리 상호접속부 내에 구리층이 증착되는 것이 가능하다. 기능화층은 배리어층 및 구리와의 강한 결합을 형성하여 그 2개 층 간의 접착력 특성을 개선한다. 구리 상호접속부의 일렉트로마이그레이션 성능을 개선하기 위하여 구리 상호접속부의 금속성 배리어층 상에 기능화층을 증착하여 구리 상호접속부 내의 구리층 증착을 돕도록 기판의 기판 표면을 제작하는 예시적인 방법이 제공된다. 그 방법은 금속성 배리어층을 증착하여 통합 시스템의 구리 상호접속부의 구조물을 라이닝하는 단계와 금속성 배리어층의 표면을 산화시키는 단계를 포함한다. 또한, 그 방법은 금속성 배리어층의 표면 상에 기능화층을 증착하는 단계와, 금속성 배리어층 상에 기능화층을 증착한 후에 구리 상호접속부의 구조물 내에 구리층을 증착하는 단계를 포함한다.
구리 상호접속부, 기능화층, 자기조립 단층, 금속성 배리어층, 통합 시스템

Description

구리와 배리어층 간의 접착력을 개선하는 자기조립 단층{SELF ASSEMBLED MONOLAYER FOR IMPROVING ADHESION BETWEEN COPPER AND BARRIER LAYER}
발명자:
Praveen Nalla, William Thie, John Boyd, Tiruchirapalli Arunagiri, Hyungsuk Alexander Yoon, Fritz C. Redeker and Yezdi Dordi
배경
집적 회로들에서는 도전성 상호접속부 (interconnect) 들을 사용하여 반도체 기판 상의 개별 디바이스들과 함께 배선하거나 집적 회로에 외부적으로 통신한다. 비아들 및 트렌치들에 대한 상호접속부 금속화는 알루미늄 합금들 및 구리를 포함할 수도 있다. 디바이스 기하형상이 45 nm 노드 기술 및 서브 45 nm 기술로 계속해서 축소됨에 따라, 보이드가 없는 (void free) 구리 충진을 가능하도록 고 종횡비 (aspect-ratio) 기하형상 피처 (features) 에서 스텝 커버리지가 양호한 연속적인 배리어/시드층의 요구가 제기되고 있다. 45 nm 노드나 서브 45 nm 기술에 있어서 초박형 컨포멀 (conformal) 배리어로 나아가고자 하는 동기가 배리어의 비아 상의 영향 및 라인 저항을 감소시키고 있다. 그러나, 배리어층에 대한 구리의 열악한 접착력은 일렉트로마이그레이션 (electromigration) 및 응력-유도 보이드 (stress-induced voiding) 에 관련되는 처리 또는 열 응력 동안 배리어층과 구리 간의 박리를 야기할 수 있다.
상술한 점을 감안하여, 구리 상호접속부에서 얇은 컨포멀 배리어층 및 구리층의 증착을 가능하게 하여 일렉트로마이그레이션 성능이 양호하고 구리 상호접속부의 응력-유도 보이드의 위험이 감소하는 방법들 및 장치에 대한 필요성이 존재한다.
개요
대략적으로 말하면, 실시형태들은 구리 상호접속부에서 얇은 컨포멀 배리어층 및 구리층의 증착을 가능하게 하여 일렉트로마이그레이션 성능이 양호하고 구리 상호접속부의 응력-유도 보이드의 위험을 감소하는 필요성을 만족시킨다. 일렉트로마이그레이션 및 응력-유도 보이드는 배리어층과 구리층 간의 접착력에 의해 영향을 받는다. 배리어층 상에 기능화층 (functionalization layer) 을 증착하여 구리 상호접속부에서 구리층이 증착 가능하도록 할 수 있다. 그 기능화층은 배리어층 및 구리와의 강한 결합을 형성하여 이들 2 개 층간의 접착성을 개선시킨다. 본 발명은 솔루션, 방법, 프로세스, 장치 또는 시스템을 포함하여 다양한 방식으로 구현될 수 있음이 이해될 것이다. 본 발명의 몇몇 독창적인 실시형태들을 이하에 설명한다.
일 실시형태에서는, 구리 상호접속부의 일렉트로마이그레이션 성능을 향상시키기 위하여 구리 상호접속부의 금속성 배리어층 상에 기능화층을 증착하여 구리 상호접속부에서의 구리층의 증착을 돕도록 기판의 기판 표면을 제작하는 방법이 제공된다. 그 방법은 통합 시스템에서 금속성 배리어층을 증착하여 구리 상호접속부의 구조물을 라이닝하는 단계와, 금속성 배리어층의 표면을 산화시키는 단계를 포함한다. 또한, 그 방법은 금속성 배리어층의 산화된 표면 상에 기능화층을 증착하는 단계와, 금속성 배리어층 상에 기능화층을 증착한 후에 구리 상호접속부의 구조물 내에 구리층을 증착하는 단계를 포함한다.
또다른 실시형태에서는, 구리 상호접속부의 일렉트로마이그레이션 성능을 향상시키기 위하여 구리 상호접속부의 금속성 배리어층 상에 기능화층을 증착하여 구리 상호접속부에서 구리층의 증착을 돕도록 기판의 기판 표면을 제작하는 방법이 제공된다. 그 방법은 통합 시스템에서 금속성 배리어층을 증착하여 구리 상호접속부의 구조물을 라이닝하는 단계를 포함한다. 또한, 그 방법은 금속성 배리어층의 산화된 표면 상에 기능화층을 증착하는 단계를 포함한다. 그 방법은 금속성 배리어층 상에 기능화층을 증착한 후에 구리 상호접속부의 구조물 내에 구리층을 증착하는 단계를 더 포함한다.
또다른 실시형태에서는, 구리 상호접속부의 일렉트로마이그레이션 성능을 향상시키기 위하여 구리 상호접속부의 금속성 배리어층 상에 기능화층의 증착이 가능하도록 제어된 환경에서 기판을 처리하는 통합 시스템이 제공된다. 그 통합 시스템은 랩-분위기 이송 챔버에 커플링된 기판 카세트로부터 기판을 통합 시스템으로 이송할 수 있는 랩-분위기 이송 챔버와, 1 Torr 미만인 압력의 진공 하에서 동작하는 진공 이송 챔버를 포함한다. 또한, 그 통합 시스템은 진공 이송 챔버에 커플링되고 1 Torr 미만인 압력의 진공 하에서 동작하며, 금속성 배리어층을 증착하는 진공 프로세스 모듈을 포함한다. 그 통합 시스템은 불활성 가스들의 그룹으로부터 선택되는 하나의 불활성 가스로 충진된 제어된-분위기 이송 챔버와, 그 금속성 배리어층의 표면에 기능화층을 증착하는데 사용된 증착 프로세스 모듈을 포함한다.
본 발명이 구리 듀얼-다마신 상호접속부 프로세스 (Cu dual-Damascene interconnect process) 를 가능하게 하는 점에서 기술되나, 이것은 또한 3 차원 (또는 3D) 패키징이나 개인 컴퓨터 보드 (PCB: Personal Computer Board) 프로세스 방식에서 사용된 관통홀 (through-hole) 비아에 적용될 수 있다. 본 발명의 다른 양태들 및 이점들은 본 발명의 원리를 예로서 설명하는 첨부 도면들을 참조하여 다음의 상세한 설명으로부터 명백해질 것이다.
도면의 간단한 설명
본 발명은 첨부된 도면을 참조하여 다음의 상세한 설명에 의해 용이하게 이해될 것이며, 동일한 참조 부호들은 동일한 구조적인 요소들을 지칭한다.
도 1A 내지 도 1D 는 상호접속 처리의 다양한 단계에서의 듀얼 다마신 상호접속부의 구조물의 단면도들을 도시한다.
도 2A 내지 도 2C 는 상호접속 처리의 다양한 단계에서의 금속 라인 구조물의 단면도들을 도시한다.
도 3A 내지 도 3C 는 기능화층을 통합하기 위한 상호접속 처리의 다양한 단계에서의 금속 라인 구조물의 단면도들을 도시한다.
도 3D 는 기능화층의 일방의 말단과 탄탈 산화물 표면 간 및 기능화층의 타방의 말단과 구리 간의 결합들에 대한 개략도를 나타낸다.
도 3E 는 상호접속부의 구조물의 증착된 층의 단면도를 도시한다.
도 3F 는 각도 α 에서 산화된 금속성 배리어 표면에 증착된 기능화층의 착화기 (complexing group) 를 나타낸다.
도 4 는 상호접속부의 구조물의 개구 내에 증착된 넌-컨포멀 배리어층의 단면도를 나타낸다.
도 5A 내지 도 5E 는 기능화층을 통합하기 위한 상호접속 처리의 다양한 단계에서의 상호접속부의 구조물의 단면도들을 도시한다.
도 6A 는 기능화층을 통합하는 상호접속 처리의 예시적 프로세스 흐름을 나타낸다.
도 6B 는 도 6A 의 프로세스 흐름을 이용하여 기판을 처리하는데 사용되는 예시적 통합 시스템을 도시한다.
예시적 실시형태들의 상세한 설명
계면 접착력을 향상시키기 위하여 접착촉진층을 추가하는 개선된 금속 집적 기술들에 대한 몇몇 예시적 실시형태들이 제공된다. 본 발명이 프로세스, 방법, 장치 또는 시스템을 포함하여 다수의 방식으로 될 수 있음이 이해될 것이다. 이하에서는 본 발명의 몇몇 독창적인 실시형태들을 설명한다. 당업자에게는 본 발명이 본 명세서에서 설명된 특정 상세내용의 일부 또는 전부 없이 실시될 수도 있음이 자명할 것이다.
도 1A 는 듀얼 다마신 프로세스 시퀀스를 이용하여 패터닝된 후의 상호접속부의 구조물(들)의 예시적 단면도를 도시한다. 그 상호접속부의 구조물(들)은 기판 (50) 상에 존재하고 유전체층 (100) 을 가지며, 여기서 이것은 그 내에 금속 화 라인 (101) 을 형성하도록 미리 제조하였다. 통상, 금속화 라인은 유전체층 (100) 내로 트렌치를 에칭하고 이후 그 트렌치를 구리와 같은 도전성 재료로 충진함으로써 제조된다.
트렌치 내부에는, 구리 재료 (122) 가 유전체층 (100) 으로 확산하는 것을 방지하도록 사용된 배리어층 (120) 이 존재한다. 배리어층 (120) 은 물리적 기상 증착 (PVD: Physical Vapor Deposition) 탄탈 질화물 (TaN), PVD 탄탈 (Ta), 원자층 증착 (ALD: Atomic Layer Deposition) TaN, 또는 이들 막의 조합으로 이루어질 수 있다. 또한, 다른 배리어층 재료들을 사용할 수 있다. 평탄화된 구리 재료 (122) 상에 배리어층 (102) 을 증착하여 배리어층 (102) 에 유전체 재료들 (104, 106) 을 덮음을 통해 바이홀들 (114) 을 에칭할 때에 구리 재료 (122) 를 조기 산화로부터 보호한다. 또한, 배리어층 (102) 은 선택적 에칭 정지로서 기능하도록 구성된다. 배리어층 (102) 의 예시적 재료들로서는 실리콘 질화물 (Si3N4), 실리콘 탄질화물 (SiCN), 또는 실리콘 탄화물 (SiC) 을 포함한다.
배리어층 (102) 상에는 비아 유전체층 (104) 이 증착된다. 비아 유전체층 (104) 은 유기-실리케이트 유리 (OSG, 카본-도핑된 실리콘 산화물) 또는 다른 종류의 유전체 재료들, 바람직하게는 저 유전율을 갖는 재료로 이루어질 수 있다. 예시적 실리콘 이산화물들로서는, PECVD 미도핑된 TEOS 실리콘 이산화물, PECVD 불화 실리카 유리 (FSG), HDP FSG, OSG, 다공성 OSG 등을 포함할 수 있다. 또한, 미국 캘리포니아주 산타클라라 소재의 Applied Materials 의 Black Diamond (I) 및 Black Diamond (II), 미국 캘리포니아주 산호세 소재의 Novellus Systems 의 Coral, 미국 애리조나주 피닉스 소재의 ASM America Inc. 의 Aurora 를 포함한 상업적으로 이용가능한 유전체 재료들을 사용할 수 있다. 비아 유전체층 (104) 상에는 트렌치 유전체층 (106) 이 존재한다. 트렌치 유전체층 (106) 은 카본-도핑된 산화물 (C-산화물) 과 같은 로우 K 의 유전체 재료일 수도 있다. 로우 K 의 유전체 재료의 유전율은 약 3.0 이하일 수 있다. 일 실시형태에서는, 비아 유전체층 및 트렌치 유전체층 양자가 동일한 재료로 이루어지고, 동시에 증착되어 연속막을 형성한다. 트렌치 유전체층 (106) 을 증착한 후에, 구조물(들)을 지지하는 기판 (50) 에 패터닝 프로세스 및 에칭 프로세스가 행해져 공지된 기법에 의해 비아홀 (114) 들과 트렌치 (116) 들을 형성한다.
도 1B 에서는 비아홀 (114) 들과 트렌치 (116) 들의 형성 후에, 배리어층 (130) 을 증착하여 비아홀 (114) 들과 트렌치 (116) 들을 라이닝하고 비아홀 (114) 들과 트렌치 (116) 들을 충진한다. 배리어층 (130) 은 탄탈 질화물 (TaN), 탄탈 (Ta), 루테늄 (Ru) 또는 이들 재료들의 이종 (hybrid) 조합으로 이루어질 수 있다. 이들은 흔히 고려되는 재료들이지만, 다른 배리어층 재료들도 사용할 수 있다. 배리어층 재료들은 티타늄 (Ti), 텅스텐 (W), 지르코늄 (Zr), 하프늄 (Hf), 몰리브덴 (Mo), 니오브 (Nb), 바나듐 (V), 루테늄 (Ru), 이리듐 (Ir), 백금 (Pt), 및 크롬 (Cr) 을 포함하는 다른 내화성 금속 화합물일 수도 있지만, 이것에 한정되지 않는다.
이후, 도 1C 에 도시된 바와 같이, 구리층 (132) 을 증착하여 비아홀 (114) 들과 트렌치 (116) 들을 충진한다. 일 실시형태에서, 구리막 (132) 은 하부에 얇은 구리 시드층 (131) 을 포함한다. 또다른 실시형태에서, 그 얇은 구리 시드층의 두께는 약 5 Å 내지 약 300 Å 이다.
Ta, TaN 또는 Ru 과 같은 배리어층들은, 장기간 동안 공기에 노출될 경우, TaxOy (탄탈 산화물), TaOxNy (탄탈 산질화물), 또는 RuO2 (루테늄 산화물) 와 같은 금속 산화물을 형성할 수 있다. 또한, 수성 수용액 (water aqueous solution) 에 Ta, TaN, 또는 Ru 와 같은 배리어 금속이 노출될 때 TaxOy, TaOxNy, 또는 RuO2 와 같은 금속 산화물이 형성될 수 있다. 기판 상의 금속층의 무전해 증착은 기판의 표면 특성과 조성에 크게 의존적이다. Ta, TaN 또는 Ru 표면 상의 구리의 무전해 도금은, 전기도금 이전에 컨포멀 시드층 형성과 리소그래피적으로 정의된 패턴(들) 내에서의 Cu 라인들의 선택적 증착 양자에 대해 관련된다. 하나의 관심사는 산소 (O2) 또는 수성 수용액의 존재 하에서 형성된 원자적으로 얇은 자연 금속 산화물층에 의한 무전해 증착 프로세스의 억제이다.
또한, 구리막은 탄탈 산화물, 탄탈 산질화물 또는 루테늄 산화물과 같은 배리어 산화물층에 잘 접착되지 않을 뿐만 아니라, Ta, Ru 또는 Ta-리치 TaN 막과 같은 배리어층-리치막이나 순수 배리어 금속에 접착된다. Ta 및/또는 TaN 배리어층들은 단지 예시로서 사용된다. 이러한 설명 및 개념은 얇은 Ru 층으로 피복된 Ta 또는 TaN 과 같은 다른 종류의 배리어 금속들에 적용된다. 상술한 바와 같이, 열악한 접착력은 일렉트로마이그레이션 성능과 응력-유도 보이드에 악영향을 줄 수 있다. 이러한 문제로 인해, 배리어층과 구리 간의 양호한 접착력을 보장하고 배리어층/구리 적층의 낮은 저항율 (resistivity) 을 확보하도록 배리어/구리 계면을 제작하는 통합 시스템을 사용하는 것이 바람직하다.
도 1B 는 배리어층 (130) 이 ALD 또는 PVD 중 어느 하나에 의해 증착된 단일 층임을 도시한다. 대안으로, 도 1D 에 도시된 바와 같이, 배리어층 (130) 을, ALD 프로세스에 의해 TaN 과 같은 제 1 배리어층 (131I) 을 증착하고 이어서 Ta 와 같은 PVD 제 2 배리어층 (131II) 을 증착함으로써 증착할 수 있다.
또한, 듀얼 다마신 상호접속부의 구조물들에 추가하여, 콘택들 상의 금속 라인들 (또는 M1 라인들) 에 구리 상호접속부를 적용할 수 있다. 도 2A 는 유전체 에칭에 의해 패터닝되고 포토레지스트가 제거된 후의 금속 라인 구조물의 예시적 단면도를 나타낸다. 금속 라인 구조물(들)은 기판 (200) 상에 존재하고 실리콘층 (110) 을 가지며, 여기서 이것은 그 내에 게이트 산화물 (121), 스페이서 (107), 및 콘택 (125) 과 함께 게이트 구조 (105) 를 형성하도록 미리 제조되었다. 통상, 콘택 (125) 은 산화물 (103) 에 콘택홀을 에칭하고 이후 콘택홀을 텅스텐과 같은 도전성 재료로 충진함으로써 제조된다. 도전성 재료 (124) 의 표면 (124a) 은 매우 청결해야 할 것이다. 대체 재료들로서는 구리, 알루미늄 또는 다른 도전성 재료들을 포함할 수도 있다. 또한, 배리어층 (102) 은 선택적 트렌치 에칭 정지로서 기능하도록 구성된다. 배리어층 (102) 은 실리콘 질화물 (Si3N4), 실리콘 탄질화물 (SiCN) 또는 실리콘 탄화물 (SiC) 과 같은 재료들로 이루 어질 수 있다.
배리어층 (102) 상에 금속 라인 유전체층 (106) 을 증착한다. 유전체층 (106) 을 증착하는데 사용될 수 있는 유전체 재료들은 상술한 바와 같다. 유전체층 (106) 의 증착 후에, 기판을 패터닝하고 에칭하여 금속 트렌치 (116) 를 생성한다. 도 2B 는 금속 트렌치 (116) 의 형성 후에 금속성 배리어층 (130) 을 증착하여 금속 트렌치 (116) 를 라이닝한 것을 도시한다. 도 2C 는 배리어층 (130) 을 증착한 후에 배리어층 (130) 상에 구리층 (132) 을 증착한 것을 도시한다. 듀얼 다마신 상호접속부의 구조물들과 유사하게, 배리어층 (130) 은 탄탈 질화물 (TaN), 탄탈 (Ta), 루테늄 (Ru) 또는 이들 막의 조합과 같은 재료로 이루어질 수 있다. 이후, 구리막 (132) 을 증착하여 금속 트렌치 (116) 를 충진한다.
듀얼 다마신 구조물에 대한 상술한 바와 같이, Ta, TaN 또는 Ru 와 같은 배리어층은, 연장된 시간 동안 공기나 수성 수용액에 노출될 경우, 구리와 배리어층 간의 접착 품질에 영향을 미치는 TaxOy (탄탈 산화물), TaOxNy (탄탈 산질화물), 또는 RuO2 (루테늄 산화물) 를 형성할 수 있다. 일 실시형태에서는, 산화된 배리어 금속 표면에 선택적으로 결합될 수도 있는 화학 그래프팅 (chemical-grafting) 화합물이 그 산화된 배리어 금속 표면 상에 이러한 화학제품의 자기조립 단층 (SAM: self-assembled monolayer) 을 형성한다. 그 화학 그래프팅 화학 제품은 2 개의 말단을 갖는다. 일방의 말단은 산화된 배리어 금속 표면과 결합되고, 타방의 말단은 구리와 결합을 형성한다. 화학 그래프팅 화합물의 단층은, 일방 의 말단에 그 산화된 배리어 금속과 타방의 말단에 구리와의 강한 결합을 통해, 구리를 구리 상호접속부의 구조물에 단단히 접착되게 한다. 상호접속부의 구조물에 대한 구리의 양호한 접착력은 일렉트로마이그레이션 성능을 향상시키고 응력-유도 보이드를 감소시킨다.
착화기이며 산화된 배리어 금속 표면 상에 단층을 형성하는 전기적 그래프팅이나 화학 그래프팅 화합물은 단층 상에 구리와 같은 재료층으로 증착될 기판 표면을 기능화하여 그 단층과 증착될 재료층 간의 결합을 강하게 만든다. 그러므로, 그 단층은 또한 기능화층이라 불린다. 이로부터, 자기조립 단층 및 기능화층이란 용어는 상호교환가능하게 사용된다. 착화기는 산화된 배리어층 표면과 공유결합을 형성하는 일방의 말단과, 구리와 직접 결합할 수 있거나 구리와 결합할 것인 촉매 부위 (catalytic site) 로 변경될 수 있는 관능기를 함유하는 타방의 말단을 갖는다. Ta 를 구리 상호접속부용 배리어 금속의 일 실시예로서 이용하여, 기능화층의 착화기는 TaxOy 와의 강한 결합을 형성하는 일방의 말단과 구리와의 강한 결합을 형성하는 타방의 말단을 갖는다. 화학 그래프팅에 의해 형성된 SAM 의 경우, 일 실시형태에서, 수용액 (습식 프로세스) 로부터 물리 흡착과 화학 흡착에 의해 고형 기판 상으로 화학 그래프팅 분자를 흡착하여 표면과 결합하고 자기조립 단층인 정렬된 분자 기능화층을 형성한다. 다른 방법으로, 화학 그래프팅된 화합물은 또한 증기 (건식 프로세스) 로서 기판 표면에 적용될 수 있다.
도 3A 는 표면 (303) 을 갖는 배리어 금속 산화물 (302) 의 얇은 층이 구비 된 배리어층 (301) 을 나타낸다. 도 3B 는 화학-그래프팅 착화기 (320) 의 기능화층 (304) 으로 표면 (303) 이 증착되어 있음을 나타낸다. 착화기 (320) 는 2 개의 말단, A 말단과 B 말단을 갖는다. A 말단은 배리어 금속 산화물 (302) 과 공유결합을 형성한다. 착화기 (320) 는 TaxOy (탄탈 산화물), TaOxNy (탄탈 산질화물), 또는 RuO2 (루테늄 산화물) 와 같은 재료로 이루어질 수 있는 배리어 금속 산화물 표면과 공유결합을 형성할 수 있는 A 말단을 가길 것이다. 예를 들어, 알킬 포스페이트인 포스페이트 (PO4-) 는 TaxOy (예를 들어, Ta2O5) 와 결합할 수 있다. TaxOy, TaOxNy 또는 RuO2 표면에 결합하는 다른 기들 (라디컬 및/또는 이온성) 은 실리콘 (-Si-), 실란 (Si(OR)3 (여기서 R = H 및/또는 CxHy) 및 산이나 산성 염화물 (-O-CO-R) 을 포함한다.
착화기 (320) 의 B 말단은 도 3C 에 도시되는 바와 같이 구리 시드층 (305) 과 공유결합을 형성한다. 착화기 (320) 의 B 말단은 구리와 공유결합을 형성할 수 있는 화합물로 구성될 것이다. 착화기 (320) 의 B 말단은 사실상 금속성 또는 유기금속성이거나, 도전성 특성 (예를 들어, 도전성 폴리머) 을 가져 기능화층을 증착하였던 배리어 표면 상에 직접 구리의 무전해 증착을 가능하게 할 수도 있다. 구리와 금속성 결합을 형성할 수 있는 화합물의 실시예는 Ru-피리딘, Pd-아민 (팔라듐-아민), Pd-피리딘, Cu-피리딘, Cu-아민, Ru-아민, 및 S-Au 를 포함한다. 또한, 금속과의 아세테이트 연결 (linkage) 은 여기서 다이 아세테이트기, 트라이 아세테이트기, 테트라 아세테이트기, 및 펜타 아세테이트기의 킬레이트화 착제 (chelation complex) 를 포함할 수 있다. 기능화층 (이 경우, 예를 들어, 피리딘류, 아민류, 티올류, 니트릴류, 산류 또는 아세테이트류) 를 갖는 Ru 또는 Pd 또는 Au 또는 Cu 금속 (촉매) 들 간의 결합은 반-공유 또는 도너 결합이다. 촉매 금속과 Cu 시드 간의 결합은 금속성 결합이다. 그 착화기는 PO4-R'-R 의 일반형을 가지며, 여기서 PO4- 는 TaxOy 와 결합하는 A 말단이고, R 은 구리와 결합하는 B 말단이다.
도 3D 에서는 A 말단에 포스페이트 (PO4-) 와 B 말단에 팔라듐-아민 (Pd-아민) 을 갖는 착화기를 나타낸다. 포스페이트는 TaxOy 표면에 결합하나 구리는 Pd 에 결합한다.
도 3E 에서는 상호접속부 적층물 (310) 의 단면을 나타낸다. 배리어층 (301) 의 표면 상에 얇은 배리어 금속 산화물층 (302) 이 성장되었다. 얇은 배리어 금속 산화물층 (302) 상에는 기능화 단층 (304) 이 증착된다. 그 기능화 단층은 얇은 배리어 금속 산화물층 (302) 에 단단하게 결합된다. 기능화층 (304) 의 착화기의 일방의 말단은 배리어 금속 산화물과 결합한다. 기능화층 (304) 상에는, 구리층 (305) 이 증착된다. 일 실시형태에서, 구리층 (305) 은 구리 시드층 (306) 을 포함한다. 구리층 (305) 중 구리는 기능화층 (304) 의 착화기의 타방의 말단에 결합된다. 기능화층과 배리어 금속 산화물인 배리어 표면 간 및 기능화층과 구리 간의 결합은 공유결합이기 때문에, 구리는 기능화층 (304) 와 배리어 금속 산화물층 (302) 을 통해 배리어층 (301) 에 단단하게 부착된다. 상호접속부 적층물 (310) 은 도 1A 의 비아 홀 (114) 이나 금속 트렌치 (116) 내부일 수 있다.
도 3B 와 도 3C 에 도시된 기능화 단층 (304) 의 착화기는 선형 및 기판 표면에 수직인 위치로 나타난다. 그러나, 착화기는 기판 표면에 수직이 아니게 위치될 수 있다. 도 3F 는 기판 표면으로부터 90°이하인 α 각도로 위치된 착화기 (302') 의 일 실시예를 나타낸다. 착화기 (320') 가 각도 α 로 기판 표면에 부착되는 경우, 그 기능화 단층의 두께는 기판 표면에 수직하게 착화기가 부착되는 경우보다 작다. 두께 (T) 는 기판에 대한 단층의 각도 θ 의 사인값과 분자들의 길이 (L) 의 곱 (T = L*sine[θ]) 과 실질적으로 동일하다.
기능화층을 적용하여 45㎚ 기술 노드 또는 서브-45㎚ 기술 노드, 예를 들어 22㎚ 노드를 위해 배리어층과 구리층 간의 접착력을 개선하기 위하여, 배리어층 (301) 과 그에 따른 배리어 금속 산화물층 (302) 은 가능한 얇게 될 것이다. 도 4 는 비아 홀 또는 금속 트렌치일 수 있는 상호접속부의 구조물 (401) 을 나타낸다. 배리어 증착 프로세스가 물리적 기상 증착 (PVD) 이면, 구조물 (401) 의 상부 표면 상의 배리어층의 두께 (TT) 는 그 구조물의 하부 코너 (또는 저부 코너) 에서의 배리어층 두께인 두께 TLC 의 10 배일 수 있다. 일반적으로, PVD 프로세스는 스텝 커버리지가 좋지 않고, 상부 코너상의 배리어막 BTC1 및 BTC2 는 상호접속부의 구조물 (401) 에서 키 홀을 남겨 저부로부터 배리어층이 충전되기 전에 접촉 될 수 있다. 상호접속부의 구조물 내의 키 홀들은 갭 충진 프로세스에서 사용된 화학 제품을 트랩하여 평탄화 후에 저압, 고온 동안 침식이나 폭발적인 증기화를 야기할 수 있거나, 금속 CMP 동안 개방되고 오염물을 트랩하여 수율을 감소시킬 수 있다; 그러므로 키 홀의 형성은 회피되어야 할 것이다. 결과적으로, 배리어층의 두께는 가능한 얇게 유지되어야 하고 그 배리어막은 가능한 컨포멀해야 할 것이다. 배리어층과 구리층 간에 개재된 기능화 단층을 이용하는 것은 구리층을 증착하는데 이용 가능한 개구의 사이즈를 감소시킨다. 그러므로, 기능화 단층은 가능한 얇게 유지되어야 할 것이다. 일 실시형태에서, 기능화층의 두께는 약 10Å 내지 약 30Å 이다. 또한, 기능화층은 전체적으로 금속 라인 저항 또는 비아 저항을 현저하게 증가시키지 말아야 할 것이다. 3D 패키지 애플리케이션을 위한 관통홀 프로세스의 경우, 단층의 존재는 비아 내의 금속의 저항율에 대한 영향력이 미미하고, 비아 저항에 전혀 기여하지 않을 것이다.
도 5A 에서는 유전체층 (501) 에 의해 둘러싸인 상호접속부 금속 트렌치 구조 (금속 1) 의 개구 (510) 를 도시한다. 도 5B 에서는 배리어층 (502) 을 증착하여 금속 트렌치 개구 (510) 를 라이닝하는 것을 도시한다. 금속 구조물의 저부는 도 2A 내지 도 2C 에 도시된 콘택 (125) 과 유사한 콘택이다. 배리어층은 ALD, PVD 또는 다른 적용가능 프로세스들에 의해 증착될 수 있다. 배리어층의 두께는 약 5 Å 내지 약 300 Å 이다. 도 5C 에서는 배리어층 (502) 상에 화학-그래프팅 착화 화합물의 기능화 단층 (503) 이 증착된 것을 도시한다. 기능화 단층 (503) 을 증착한 후에, 도 5D 에 도시된 바와 같이, 기능화 단층 (503) 위에 구리 시드층 (504) 을 증착한다. 구리 시드층 (504) 을 증착한 후에, 도 5E 에 도시된 바와 같이, 구리 갭 충진 층 (505) 을 증착한다.
도 6A 에서는 무전해 구리 증착을 위해 배리어 (또는 라이너) 층 표면을 제작하는 프로세스 흐름의 일 실시형태를 도시한다. 단계 601 에서, 도 2A 의 콘택 (125) 의 상부 표면 (124a) 을 세정하여 자연 금속 산화물을 제거한다. Ar 스퍼터링 프로세스, NF3, CF4, 또는 양자의 조합과 같은 불소 함유 가스를 이용한 플라즈마 프로세스, 습식 화학 에칭 프로세스, 또는 예를 들어, 수소 함유 플라즈마를 이용한 환원 프로세스에 의해 금속 산화물을 제거할 수 있다. 1 단계 또는 2 단계 습식 화학 프로세스 시퀀스 중 습식 화학 제거 프로세스에 의해 금속 산화물을 제거할 수 있다. 습식 화학 제거 프로세스는 일본의 Kanto Chemical Co., Inc. 에 의해 제공된 DeerClean 과 같은 유기산 또는 미국 델라웨어주 윌밍톤 소재 DuPont 에 의해 제공된 ESC 5800 과 같은 반수성 용재 (semi-aqueous solvent), 에틸렌 다이아민, 다이에틸렌 트라이아민, 또는 미국 코네티컷주 웨스트헤이븐 소재의 Enthone, Inc. 에 의해 제공된 ELD clean 및 Cap Clean 61 과 같은 전용 화학 물질과 같은 아민류를 착화하는, 테트라메틸암모늄 클로라이드 (TMAH: tetramethylammonium chloride) 와 같은 유기 염기를 이용할 수 있다. 또한, 시트르산과 같은 약 유기산을 이용하여 금속 산화물들, 구체적으로 구리 산화물을 제거할 수 있고, 다른 유기 또는 무기 산류를 이용할 수 있다. 또한, 추가적으로, 황산-과산화 혼합물와 같은 매우 묽은 (즉, <0.1%) 과산화물 함유 산류를 사용 할 수 있다. 단계 603 에서, ALD 시스템 또는 PVD 시스템 중 어느 하나에서 배리어층을 증착한다.
상술한 바와 같이, 기능화층이 배리어 표면 상에 적절히 증착되기 위하여, 배리어 산화물에 의해 배리어 표면이 피복되어야 할 것이다. 단계 605 에서는 산화 분위기, 예를 들어, 산소 함유 플라즈마, 제어된 열 산소 처리, 또는 과산화물이나 다른 산화 화학 제품들을 사용한 습식 화학 처리에 의해 배리어층을 처리하여 후속 기능화층 증착 단계를 가능하게 할 것인 배리어-금속 산화물층을 제조한다.
산화 처리는 표면의 조성에 따라 선택적이다. 그 후, 단계 606 에서는 기판 표면에 화학-그래프팅 착화 화합물의 SAM 을 증착한다. 일 실시형태에서는, 소정 용액에 화학-그래프팅 착화 화합물을 혼합하며, 증착 프로세스가 습식 프로세스이다. 단계 606 의 증착 단계 이후에 선택적 세정 단계 607 이 필요할 수도 있다.
그 후, 단계 608 에서는 배리어 표면 상에 컨포멀 구리 시드를 증착하고, 이어서 단계 609 에서 두꺼운 구리 벌크 충진 (또는 갭 충진) 프로세스를 행한다. 컨포멀 구리 시드층은 무전해 공정에 의해 증착될 수 있다. 두꺼운 구리 벌크 충진 (또한 갭 충진) 층은 ECP 공정에 의해 증착될 수 있다. 대안으로, 두꺼운 벌크 충진 (또한 갭 충진) 층은 컨포멀 구리 시드용 무전해 시스템과 동일 시스템에서 무전해 프로세스에 의해 증착될 수 있지만, 상이한 화학물질을 사용한다. 선택적으로, 티올 함유 리간드를 'B' 말단기로서 이용하는 경우, 금 나노입자를 증 착하여 후속 구리 증착 단계용 촉매 부위 (catalytic site) 를 형성할 수 있다.
단계 608 에서 기판을 컨포멀 구리 시드로 증착하고, 단계 609 에서 무전해 프로세스 또는 전기-도금 프로세스 중 어느 하나에 의한 두꺼운 Cu 벌크 충진 후, 다음 프로세스 단계 610 는 이전의 증착으로부터 임의의 잔류 오염물질들을 세정하는 선택적 기판-세정 단계이다.
도 6B 는 구리 상호접속 처리를 가능하게 하여 일렉트로마이그레이션이 양호하고 응력-유도 보이드를 감소시킨 구리 상호접속부를 제조하는 통합 시스템 (650) 의 개략도의 일 실시형태를 나타낸다. 통합 시스템 (650) 을 이용하여 도 6A 의 프로세스 600 의 전체 프로세스 시퀀스를 통해 기판(들)을 처리할 수 있다.
통합 시스템 (650) 은 3 개 기판 이송 모듈들 (660, 670 및 680) 을 갖는다. 이송 모듈들 (660, 670 및 680) 은 기판 (655) 을 일 프로세스 영역으로부터 다른 프로세스 영역으로 이동시키도록 로봇들을 구비하고 있다. 프로세스 영역은 기판 카세트, 반응기 또는 로드락일 수 있다. 기판 이송 모듈 (660) 은 랩 분위기 하에서 동작된다. 모듈 (660) 은 기판 로더들 (또는 기판 카세트들) (661) 과 인터페이스하여 기판 (655) 을 통합 시스템으로 가져가거나 기판을 카세트들 (661) 중 하나로 되돌린다.
도 6A 의 프로세스 흐름 (600) 에서 상술한 바와 같이, 기판 (655) 을 통합 시스템 (650) 으로 가져가 배리어층을 증착하여, 구리층 증착을 위해 배리어 표면을 제작한다. 프로세스 흐름 (600) 중 단계 601 에서 설명한 바와 같이, 콘택 (125) 들의 상부 콘택 표면 (124a) 을 에칭하여 자연 금속 산화물을 제거한다. 일단 금속 산화물을 제거하면, 도 2A 의 노출된 금속 표면 (124a) 은 산소에 대한 노출로부터 보호될 필요가 있다. 시스템 (650) 이 통합된 시스템이기 때문에, 기판을 하나의 프로세스 스테이션으로부터 다음 프로세스 스테이션으로 즉시 이송하며, 여기서 이것은 낮은 수준의 산소에 대한 청결한 금속 표면이 노출되는 시간을 제한한다.
제거 프로세스가 Ar 스퍼터링 프로세스일 경우, Ar 스퍼터링 반응기 (671) 는 진공 이송 모듈 (670) 에 커플링된다. 습식 화학 에칭 프로세스가 선택될 경우, 산소에 대한 청결한 텅스텐 표면의 노출을 제한하기 위해, 반응기는 랩-분위기 이송 모듈 (660) 이 아닌 제어된-분위기 이송 모듈 (680) 에 커플링되어야 할 것이다. 습식 프로세스가 처리 및 이송 환경이 제어된 시스템에 통합되기 위하여, 반응기는 드라이-인/드라이-아웃 프로세스 능력이 가능하도록 린스/건조기와 통합될 필요가 있다. 또한, 그 시스템은 산소에 대한 기판의 최소 노출을 보장하기 위해 불활성 가스로 충진될 필요가 있다.
그 후, 배리어층으로 기판을 증착한다. 도 2B 의 배리어층 (130) 은 PVD 프로세스 또는 ALD 프로세스 중 어느 하나에 의해 증착될 수 있다. 일 실시형태에서는, 건식 공정이고 1 Torr 미만에서 동작하는 ALD 프로세스에 의해 배리어층 (130) 을 증착한다. ALD 반응기 (672) 는 진공 이송 모듈 (670) 에 커플링된다. 배리어층 표면이 기능화층 증착을 위한 금속-산화물-리치인 것을 보장하기 위해 기판에 대해 선택적 표면 산화 프로세스를 행할 수 있다. 산화 반응기 (674) 는 진공 이송 모듈 (670) 에 커플링될 수 있다. 이 단계에서는, 기판이 화학-그래프팅 착화 화합물 기능화 단층 증착을 위해 준비된다. 상술한 바와 같이, 일 실시형태에서는, 이 프로세스가 습식 프로세스이고 제어된-분위기 이송 모듈 (680) 에 커플링된 화학-그래프팅 착화 화합물 증착 챔버 (683) 에서 증착시킬 수 있다. 일 실시형태에서는, 챔버 (683) 가 기능화 단층 증착 후에 기판 (655) 을 세정하는 세정 모듈 (미도시) 로 일체화된다. 다른 실시형태에서는, 진공 이송 모듈 (670) 과 커플링되는 건조 프로세스 반응기 (676) 에서 기능화 단층의 증착이 수행된다. 반응기는 1 Torr 아래에서 동작된다. 일 실시형태에서는, 프로세스 흐름 (600) 에서 기술한 바와 같이, 기판 (655) 에 대해 선택적 기판 세정 단계 607 을 행한다. 그 기판 세정 프로세스는 브러시 세정 프로세스일 수 있고, 그 반응기 (685) 는 제어된-분위기 이송 모듈 (680) 과 일체화될 수 있다. 기판 표면 세정 후에, 흐름 (600) 중 단계 608 에서 기술한 바와 같이, 기판 (655) 은 구리 시드층 증착을 위해 준비된다. 일 실시형태에서는, 무전해 공정에 의해 구리 시드층 증착을 수행한다. 도 6A 의 단계 608 에서 기술한 바와 같이, 무전해 구리 도금 반응기 (681) 에서 무전해 구리 도금을 실시하여 컨포멀 구리 시드층을 증착할 수 있다. 상술한 바와 같이, 도 6A 의 단계 609 에서의 갭 충진 구리층의 증착은 상이한 화학물질을 사용한 동일 무전해 도금 반응기 (681) 에서, 또는 별도 ECP 반응기 (681') 에서 증착될 수 있다.
기판이 통합 시스템 (650) 을 떠나기 전에, 기판에 대해 선택적으로 기판 세정 프로세스를 수행할 수 있으며, 여기서 이것은 이전 구리 도금 프로세스로부터의 잔류물들을 세정할 수 있다. 기판 세정 프로세스는 브러시 세정 프로세스일 수 있고, 그 반응기 (663) 는 랩-분위기 이송 모듈 (660) 과 일체화될 수 있다.
제어된-분위기 이송 모듈 (680) 에 커플링되는 도 6B 에서 기술한 습식 처리 시스템들은 모두 시스템 통합을 가능하게 하기 위해 드라이-인/드라이-아웃의 요건을 만족시킬 필요가 있다. 또한, 시스템들은 기판의 산소에 대한 최소 노출을 보장하기 위해 1 종 이상의 불활성 가스들로 충진된다.
도 6A 에서 기술한 프로세스 흐름 (600) 및 도 6B 에서 기술한 시스템 (650) 은 도 1A 내지 도 1D 에 도시된 바와 같은 듀얼 다마신 구조들에 대해 배리어층 및 구리를 증착하는데 이용 가능할 수 있다. 듀얼 다마신 구조들에 대해, 흐름 (600) 중 단계 601 은 도 1A 의 표면 (122a) 으로서 도시되는 금속 라인의 상부 표면을 세정함으로써 대체된다.
본 발명을 몇몇 실시형태들에 의해 설명하였지만, 당업자가 상술한 본 명세서를 읽고 도면들을 연구함으로써 그것의 다양한 대체물들, 부가물들, 치환물들 및 동등물들을 실현할 수 있을 것이라는 것이 자명할 것이다. 따라서, 본 발명은 발명의 진정한 취지 및 범위 내에 있다면 모든 이러한 대체물들, 부가물들, 치환물들 및 동등물들을 포함하도록 의도된다. 청구범위에 있어서, 구성성분들 및/또는 단계들은 청구범위에서 명백하게 서술되지 않는다면, 임의의 특정 순서의 동작을 함축하지 않는다.

Claims (32)

  1. 하나의 통합 시스템 내에서 구리 상호접속부의 일렉트로마이그레이션 (electromigration) 성능을 개선하기 위하여 상기 구리 상호접속부의 금속성 배리어층 상에 기능화층을 증착하여 상기 구리 상호접속부 내에 구리층 증착을 돕도록 기판의 기판 표면을 제작하는 방법으로서,
    상기 통합 시스템 내에서 상기 금속성 배리어층을 증착하여 상기 구리 상호접속부의 구조물을 라이닝하는 단계;
    상기 금속성 배리어층의 표면을 산화시키는 단계;
    상기 금속성 배리어층의 산화된 표면 상에 상기 기능화층을 증착하는 단계; 및
    상기 금속성 배리어층 상에 상기 기능화층을 증착한 후에 상기 구리 상호접속부의 구조물 내에 상기 구리층을 증착하는 단계를 포함하고,
    상기 기능화층을 위해 사용된 재료는, 적어도 2 개 말단을 갖는 착화기 (complexing group) 를 포함하고, 상기 착화기의 일방의 말단은 상기 금속성 배리어층의 산화된 표면과의 결합을 형성하고, 상기 착화기의 타방의 말단은 구리와의 결합을 형성하는, 기판 표면을 제작하는 방법.
  2. 제 1 항에 있어서,
    상기 금속성 배리어층의 재료는 탄탈 질화물 (TaN), 탄탈 (Ta), 루테늄 (Ru), 티타늄 (Ti), 텅스텐 (W), 지르코늄 (Zr), 하프늄 (Hf), 몰리브덴 (Mo), 니오븀 (Nb), 바나듐 (V), 크롬 (Cr), 및 이들 재료의 이종 조합으로 이루어진 그룹으로부터 선택되는, 기판 표면을 제작하는 방법.
  3. 삭제
  4. 제 1 항에 있어서,
    상기 금속성 배리어층의 산화된 표면과의 결합을 형성하는 상기 착화기의 말단은, 포스페이트 (PO4-), 실리콘, 실란 (-Si(OR)3), 및 산이나 아세테이트 (-O-CO-R) (R 은 H 또는 CxHy 임) 로 이루어진 그룹으로부터 선택되는, 기판 표면을 제작하는 방법.
  5. 제 1 항에 있어서,
    상기 구리와의 결합을 형성하는 상기 착화기의 말단은, 금속이나 유기 금속이며, Ru-피리딘, Pd-아민 (팔라디움-아민), Pd-피리딘, Cu-피리딘, Cu-아민, Ru-아민, Ru-아세테이트, Cu-아세테이트 및 Pd-아세테이트로 이루어진 그룹으로부터 선택되는, 기판 표면을 제작하는 방법.
  6. 제 1 항에 있어서,
    상기 구리와의 결합을 형성하는 상기 착화기의 말단은 티올 (thiol) 함유 리간드인 경우, 금 나노입자들이 증착되어 후속 구리 증착 단계용 촉매 부위 (catalytic site) 를 형성하는, 기판 표면을 제작하는 방법.
  7. 제 1 항에 있어서,
    상기 금속성 배리어층의 표면을 산화시키는 단계는 산화 분위기에서 실시되는, 기판 표면을 제작하는 방법.
  8. 제 1 항에 있어서,
    상기 금속성 배리어층을 증착하기 전에 상기 구리 상호접속부에 대한 하지 금속 (underlying metal) 의 노출된 표면을 세정하여 상기 하지 금속의 노출된 표면의 표면 금속 산화물을 제거하는 단계를 더 포함하고, 상기 하지 금속은 상기 구리 상호접속부에 전기적으로 접속되는 하지 상호접속부의 일부인, 기판 표면을 제작하는 방법.
  9. 제 1 항에 있어서,
    상기 구리 상호접속부는 비아 상에 금속 라인을 포함하고, 상기 구리 상호접속부는 금속 라인을 포함하는 하지 상호접속부 상에 있는, 기판 표면을 제작하는 방법.
  10. 제 1 항에 있어서,
    상기 구리 상호접속부는 금속 라인을 포함하고, 상기 구리 상호접속부는 콘택을 포함하는 하지 상호접속부 상에 있는, 기판 표면을 제작하는 방법.
  11. 제 1 항에 있어서,
    상기 구리 상호접속부는 3 차원 (3D) 패키징이나 개인 컴퓨터 보드 (PCB: Personal Computer Board) 내의 관통홀 (through-hole) 비아를 포함하는, 기판 표면을 제작하는 방법.
  12. 제 1 항에 있어서,
    상기 금속성 배리어층을 증착하는 단계는,
    제 1 금속성 배리어층을 증착하는 단계; 및
    제 2 금속성 배리어층을 증착하는 단계를 더 포함하는, 기판 표면을 제작하는 방법.
  13. 제 12 항에 있어서,
    상기 제 1 금속성 배리어층은, 원자층 증착 (ALD: Atomic Layer Deposition) 프로세스에 의해 증착되고, 상기 제 2 금속성 배리어층은, 물리 기상 증착 (PVD: Physical Vapor Deposition) 프로세스에 의해 증착되는, 기판 표면을 제작하는 방 법.
  14. 제 12 항에 있어서,
    상기 제 1 금속성 배리어층은, ALD 프로세스에 의해 증착되고, 상기 제 2 금속성 배리어층은, ALD 프로세스에 의해 증착되는, 기판 표면을 제작하는 방법.
  15. 제 1 항에 있어서,
    상기 구리층을 증착하기 전에 상기 통합 시스템 내에서 상기 기능화층의 표면을 세정하는 단계를 더 포함하는, 기판 표면을 제작하는 방법.
  16. 제 1 항에 있어서,
    상기 구리층은, 무전해 프로세스에 의해 증착되는, 기판 표면을 제작하는 방법.
  17. 제 1 항에 있어서,
    상기 구리층은, 전기화학 도금 (ECP: ElectroChemical Plating) 프로세스에 의해 증착되는, 기판 표면을 제작하는 방법.
  18. 제 1 항에 있어서,
    상기 금속성 배리어층을 증착하는 단계, 상기 금속성 배리어층의 표면을 산 화시키는 단계, 상기 기능화층을 증착하는 단계, 및 상기 구리층을 증착하는 단계가 하나의 통합 시스템 내에서 실시되는, 기판 표면을 제작하는 방법.
  19. 삭제
  20. 구리 상호접속부의 일렉트로마이그레이션 (electromigration) 성능을 개선하기 위하여 상기 구리 상호접속부의 금속성 배리어층 상에 기능화층의 증착을 가능하도록 제어된 환경에서 기판을 처리하는 통합 시스템으로서,
    랩-분위기 이송 챔버에 커플링된 기판 카세트로부터 상기 기판을 상기 통합 시스템으로 이송할 수 있는, 상기 랩-분위기 이송 챔버;
    1 Torr 미만인 압력의 진공 하에서 동작되는 진공 이송 챔버;
    상기 진공 이송 챔버에 커플링되고, 1 Torr 미만인 압력의 진공 하에서 동작되며, 상기 금속성 배리어층을 증착하는 진공 처리 모듈;
    불활성 가스들의 그룹으로부터 선택되는 일 불활성 가스로 충진된 제어된-분위기 이송 챔버;
    상기 제어된-분위기 이송 챔버에 커플링되며, 상기 금속성 배리어층의 표면 상에 상기 기능화층을 증착하는데 사용되는 증착 프로세스 모듈;
    상기 금속성 배리어층의 표면 상에 상기 기능화층을 증착하기 전에 상기 금속성 배리어층의 표면을 산화시키는데 사용되는 산화 프로세스 모듈; 및
    상기 금속성 배리어층의 표면 상에 상기 기능화층을 증착한 후에 상기 구리 상호접속부에 구리 시드층의 얇은 층을 증착하는데 사용되는 무전해 구리 증착 프로세스 모듈을 포함하고,
    상기 산화 프로세스 모듈은 진공 이송 챔버에 커플링되고, 1 Torr 미만인 압력의 진공 하에서 동작되고,
    상기 무전해 구리 증착 프로세스 모듈은 상기 제어된-분위기 이송 챔버에 커플링되는, 통합 시스템.
  21. 삭제
  22. 삭제
  23. 제 20 항에 있어서,
    상기 무전해 구리 증착 프로세스 모듈은 또한 상기 얇은 구리 시드층 상에 갭 충진 구리층을 증착하는데 사용되는, 통합 시스템.
  24. 제 20 항에 있어서,
    상기 얇은 구리 시드층 상에 갭 충진 구리층을 증착하는 무전해 구리 증착 프로세스 모듈을 더 포함하는, 통합 시스템.
  25. 제 20 항에 있어서,
    상기 금속성 배리어층 상에 상기 기능화층을 증착한 후에 상기 기판 표면을 세정하는데 사용된 기판 세정 프로세스 모듈을 더 포함하고,
    상기 기판 세정 프로세스 모듈은 상기 제어된-분위기 이송 모듈에 커플링되는, 통합 시스템.
  26. 제 20 항에 있어서,
    상기 기능화층을 증착하는데 사용된 상기 증착 프로세스 모듈은 습식 프로세스 모듈이며, 상기 제어된-분위기 이송 모듈에 커플링되는, 통합 시스템.
  27. 제 20 항에 있어서,
    상기 기능화층을 증착하는데 사용된 상기 증착 프로세스 모듈은 건식 프로세스 모듈이며 상기 진공 이송 모듈에 커플링되는, 통합 시스템.
  28. 제 20 항에 있어서,
    상기 진공 이송 챔버와 상기 제어된-분위기 이송 챔버 사이에서 상기 기판이 이송되는 것을 돕고, 1 Torr 미만인 압력의 진공 하에서 동작되거나 불활성 가스들의 그룹으로부터 선택된 하나의 불활성 가스로 충전되도록 구성되며, 상기 진공 이송 챔버와 상기 제어된-분위기 이송 챔버에 커플링된 제 1 로드락; 및
    상기 진공 이송 챔버와 상기 랩-분위기 이송 챔버 사이에서 상기 기판이 이송되는 것을 돕고, 랩 분위기에서 또는 1 Torr 미만인 압력의 진공 하에서 동작되되거나, 불활성 가스들의 그룹으로부터 선택된 하나의 불활성 가스로 충전되도록 구성되며, 상기 진공 이송 챔버와 상기 랩-분위기 이송 챔버에 커플링된 제 2 로드락을 더 포함하는, 통합 시스템.
  29. 제 20 항에 있어서,
    상기 진공 이송 챔버와 상기 진공 이송 챔버에 커플링된 상기 진공 프로세스 모듈은 1 Torr 미만인 압력에서 동작되어 산소에 대한 상기 기판의 노출을 제어하는, 통합 시스템.
  30. 제 20 항에 있어서,
    상기 제어된-분위기 이송 챔버와 상기 제어된-분위기 이송 챔버에 커플링된 상기 증착 프로세스 모듈은 불활성 가스들의 그룹으로부터 선택된 하나 이상의 불활성 가스로 충전되어 산소에 대한 상기 기판의 노출을 제어하는, 통합 시스템.
  31. 제 20 항에 있어서,
    상기 제어된-분위기 이송 모듈에 커플링된 상기 적어도 하나의 증착 프로세스 모듈은 상기 기판의 드라이-인/드라이-아웃 처리를 가능하고,
    상기 기판은 건조 상태로 상기 적어도 하나의 증착 프로세스 모듈로 들어가고 상기 적어도 하나의 증착 프로세스 모듈에서 나오는, 통합 시스템.
  32. 제 20 항에 있어서,
    상기 산화 프로세스 모듈은 상기 기능화층으로 증착될 상기 금속성 배리어층의 표면을 제작하는, 통합 시스템.
KR1020097004315A 2006-08-30 2007-08-15 구리와 배리어층 간의 접착력을 개선하는 자기조립 단층 KR101423349B1 (ko)

Applications Claiming Priority (5)

Application Number Priority Date Filing Date Title
US11/514,038 2006-08-30
US11/514,038 US8241701B2 (en) 2005-08-31 2006-08-30 Processes and systems for engineering a barrier surface for copper deposition
US11/639,012 2006-12-13
US11/639,012 US20090304914A1 (en) 2006-08-30 2006-12-13 Self assembled monolayer for improving adhesion between copper and barrier layer
PCT/US2007/018212 WO2008027205A2 (en) 2006-08-30 2007-08-15 Self assembled monolayer for improving adhesion between copper and barrier layer

Publications (2)

Publication Number Publication Date
KR20090045302A KR20090045302A (ko) 2009-05-07
KR101423349B1 true KR101423349B1 (ko) 2014-07-24

Family

ID=39136454

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097004315A KR101423349B1 (ko) 2006-08-30 2007-08-15 구리와 배리어층 간의 접착력을 개선하는 자기조립 단층

Country Status (7)

Country Link
US (1) US20090304914A1 (ko)
JP (1) JP5420409B2 (ko)
KR (1) KR101423349B1 (ko)
MY (1) MY162187A (ko)
SG (1) SG174105A1 (ko)
TW (2) TWI453822B (ko)
WO (1) WO2008027205A2 (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016117851A1 (ko) * 2015-01-23 2016-07-28 코닝정밀소재 주식회사 금속 접합기판

Families Citing this family (20)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8916232B2 (en) * 2006-08-30 2014-12-23 Lam Research Corporation Method for barrier interface preparation of copper interconnect
JP4755573B2 (ja) * 2006-11-30 2011-08-24 東京応化工業株式会社 処理装置および処理方法、ならびに表面処理治具
KR100841170B1 (ko) * 2007-04-26 2008-06-24 삼성전자주식회사 저저항 금속 배선 형성방법, 금속 배선 구조 및 이를이용하는 표시장치
JP4971078B2 (ja) * 2007-08-30 2012-07-11 東京応化工業株式会社 表面処理装置
KR101096031B1 (ko) 2009-03-31 2011-12-19 한양대학교 산학협력단 자기조립단분자막 형성방법과 이를 이용한 반도체 소자의 구리배선 및 그의 형성방법
US8415252B2 (en) * 2010-01-07 2013-04-09 International Business Machines Corporation Selective copper encapsulation layer deposition
US9252049B2 (en) * 2013-03-06 2016-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming interconnect structure that avoids via recess
US8962473B2 (en) 2013-03-15 2015-02-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming hybrid diffusion barrier layer and semiconductor device thereof
KR102264160B1 (ko) 2014-12-03 2021-06-11 삼성전자주식회사 비아 구조체 및 배선 구조체를 갖는 반도체 소자 제조 방법
US9799593B1 (en) * 2016-04-01 2017-10-24 Intel Corporation Semiconductor package substrate having an interfacial layer
US10358715B2 (en) * 2016-06-03 2019-07-23 Applied Materials, Inc. Integrated cluster tool for selective area deposition
KR101819825B1 (ko) * 2016-06-13 2018-01-18 아주대학교산학협력단 플렉시블 전극 제조방법
US9875958B1 (en) * 2016-11-09 2018-01-23 International Business Machines Corporation Trace/via hybrid structure and method of manufacture
US10678135B2 (en) 2017-12-20 2020-06-09 International Business Machines Corporation Surface treatment of titanium containing hardmasks
JP2019192892A (ja) 2018-04-18 2019-10-31 東京エレクトロン株式会社 処理システムおよび処理方法
CN110952081B (zh) * 2018-09-27 2022-04-29 Imec 非营利协会 用于形成互连部的方法和溶液
WO2020131897A1 (en) * 2018-12-17 2020-06-25 Averatek Corporation Three dimensional circuit formation
WO2020159882A1 (en) 2019-01-28 2020-08-06 Lam Research Corporation Deposition of metal films
US11929327B2 (en) 2020-01-29 2024-03-12 Taiwan Semiconductor Manufacturing Co., Inc. Liner-free conductive structures with anchor points
KR20230104071A (ko) * 2020-11-19 2023-07-07 램 리써치 코포레이션 저 저항률 (low resistivity) 콘택트들 및 상호 접속부들

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734559B1 (en) * 1999-09-17 2004-05-11 Advanced Micro Devices, Inc. Self-aligned semiconductor interconnect barrier and manufacturing method therefor
US20060108320A1 (en) * 2004-11-22 2006-05-25 Lazovsky David E Molecular self-assembly in substrate processing
KR100613388B1 (ko) * 2004-12-23 2006-08-17 동부일렉트로닉스 주식회사 다마신법을 이용한 구리 배선층을 갖는 반도체 소자 및 그형성 방법
KR100718804B1 (ko) * 2005-11-15 2007-05-16 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4949671A (en) * 1985-10-24 1990-08-21 Texas Instruments Incorporated Processing apparatus and method
JP3911643B2 (ja) * 1995-07-05 2007-05-09 富士通株式会社 埋め込み導電層の形成方法
US6042623A (en) * 1998-01-12 2000-03-28 Tokyo Electron Limited Two-wafer loadlock wafer processing apparatus and loading and unloading method therefor
US6017820A (en) * 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
JP3974284B2 (ja) * 1999-03-18 2007-09-12 株式会社東芝 半導体装置の製造方法
US6423636B1 (en) * 1999-11-19 2002-07-23 Applied Materials, Inc. Process sequence for improved seed layer productivity and achieving 3mm edge exclusion for a copper metalization process on semiconductor wafer
KR100693691B1 (ko) * 2000-04-25 2007-03-09 동경 엘렉트론 주식회사 금속 필름의 침착방법 및 초임계 건조/세척 모듈을포함하는 금속침착 복합공정장치
US20040040504A1 (en) * 2002-08-01 2004-03-04 Semiconductor Energy Laboratory Co., Ltd. Manufacturing apparatus
US20050274621A1 (en) * 2004-06-10 2005-12-15 Zhi-Wen Sun Method of barrier layer surface treatment to enable direct copper plating on barrier metal
US20060033678A1 (en) * 2004-01-26 2006-02-16 Applied Materials, Inc. Integrated electroless deposition system
US8916232B2 (en) * 2006-08-30 2014-12-23 Lam Research Corporation Method for barrier interface preparation of copper interconnect

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6734559B1 (en) * 1999-09-17 2004-05-11 Advanced Micro Devices, Inc. Self-aligned semiconductor interconnect barrier and manufacturing method therefor
US20060108320A1 (en) * 2004-11-22 2006-05-25 Lazovsky David E Molecular self-assembly in substrate processing
KR100613388B1 (ko) * 2004-12-23 2006-08-17 동부일렉트로닉스 주식회사 다마신법을 이용한 구리 배선층을 갖는 반도체 소자 및 그형성 방법
KR100718804B1 (ko) * 2005-11-15 2007-05-16 동부일렉트로닉스 주식회사 반도체 소자 및 그 제조 방법

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2016117851A1 (ko) * 2015-01-23 2016-07-28 코닝정밀소재 주식회사 금속 접합기판

Also Published As

Publication number Publication date
SG174105A1 (en) 2011-09-29
US20090304914A1 (en) 2009-12-10
TWI453822B (zh) 2014-09-21
TWI462178B (zh) 2014-11-21
JP2010503203A (ja) 2010-01-28
TW200834726A (en) 2008-08-16
JP5420409B2 (ja) 2014-02-19
WO2008027205A3 (en) 2008-04-24
WO2008027205A2 (en) 2008-03-06
TW201246376A (en) 2012-11-16
KR20090045302A (ko) 2009-05-07
MY162187A (en) 2017-05-31

Similar Documents

Publication Publication Date Title
KR101423349B1 (ko) 구리와 배리어층 간의 접착력을 개선하는 자기조립 단층
US8916232B2 (en) Method for barrier interface preparation of copper interconnect
CN101548030B (zh) 用于增强铜和阻挡层之间粘结的自组装单层
US8039966B2 (en) Structures of and methods and tools for forming in-situ metallic/dielectric caps for interconnects
US6905958B2 (en) Protecting metal conductors with sacrificial organic monolayers
JP5820870B2 (ja) 金属堆積のために基板表面を調整する方法および統合システム
KR20200001556A (ko) 자기 터널 접합들을 위한 확산 층
KR20030068478A (ko) 반도체 디바이스 및 그 제조 방법
US10256185B2 (en) Nitridization for semiconductor structures
US7867897B2 (en) Low leakage metal-containing cap process using oxidation
KR101506352B1 (ko) 금속 증착을 위해 기판 표면을 가공하는 프로세스 및 통합 시스템
KR101487564B1 (ko) 구리 상호접속부의 배리어 계면 제작 방법 및 장치
JP2012074608A (ja) 配線形成方法
US6784093B1 (en) Copper surface passivation during semiconductor manufacturing
US11810817B2 (en) In-situ CMP self-assembled monolayer for enhancing metal-dielectric adhesion and preventing metal diffusion
TW202333205A (zh) 半導體結構與其形成方法

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170710

Year of fee payment: 4

LAPS Lapse due to unpaid annual fee