TWI584477B - 利用氣體團簇離子束形成暫置鰭片 - Google Patents

利用氣體團簇離子束形成暫置鰭片 Download PDF

Info

Publication number
TWI584477B
TWI584477B TW102136786A TW102136786A TWI584477B TW I584477 B TWI584477 B TW I584477B TW 102136786 A TW102136786 A TW 102136786A TW 102136786 A TW102136786 A TW 102136786A TW I584477 B TWI584477 B TW I584477B
Authority
TW
Taiwan
Prior art keywords
fins
subset
fin
semiconductor
dielectric
Prior art date
Application number
TW102136786A
Other languages
English (en)
Other versions
TW201428970A (zh
Inventor
程康國
普蘭薩西哈蘭巴拉薩拉瑪年
卡琪菲盧茨阿里
波諾斯松姆S
史丹特席歐多厄斯埃卓德斯
山下典洪
Original Assignee
萬國商業機器公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 萬國商業機器公司 filed Critical 萬國商業機器公司
Publication of TW201428970A publication Critical patent/TW201428970A/zh
Application granted granted Critical
Publication of TWI584477B publication Critical patent/TWI584477B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/02164Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon oxide, e.g. SiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02123Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon
    • H01L21/0217Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing silicon the material being a silicon nitride not containing oxygen, e.g. SixNy or SixByNz
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/0223Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate
    • H01L21/02233Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer
    • H01L21/02236Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor
    • H01L21/02238Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by oxidation, e.g. oxidation of the substrate of the semiconductor substrate or a semiconductor layer group IV semiconductor silicon in uncombined form, i.e. pure silicon
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/02227Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process
    • H01L21/02247Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a process other than a deposition process formation by nitridation, e.g. nitridation of the substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26566Bombardment with radiation with high-energy radiation producing ion implantation of a cluster, e.g. using a gas cluster ion beam
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/84Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body
    • H01L21/845Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being other than a semiconductor body, e.g. being an insulating body including field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/0886Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate including transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/12Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body
    • H01L27/1203Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI
    • H01L27/1211Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being other than a semiconductor body, e.g. an insulating body the substrate comprising an insulating body on a semiconductor body, e.g. SOI combined with field-effect transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/6681Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET using dummy structures having essentially the same shape as the semiconductor body, e.g. to provide stability
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/0203Particular design considerations for integrated circuits
    • H01L27/0207Geometrical layout of the components, e.g. computer aided design; custom LSI, semi-custom LSI, standard cell technique

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Ceramic Engineering (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Thin Film Transistor (AREA)
  • Semiconductor Memories (AREA)
  • Element Separation (AREA)

Description

利用氣體團簇離子束形成暫置鰭片
本發明大體而言係關於半導體製造,而且更具體言之,本發明係關於鰭片場效電晶體(finFET)結構及製造方法。
隨著積體電路(ICs)持續朝向小型化的趨勢,需要有尺寸越來越小的電晶體。隨著元件尺寸持續縮小,FinFET技術越來越普遍。因此,理想的是具有改良的finFET元件及製造方法。
在一個實施例中,提供一種半導體結構。該結構包含半導體基板、位於該半導體基板上的絕緣體層、位於該絕緣體層上的複數個鰭片,其中該複數個鰭片之第一子集係由半導體材料所組成,以及其中該複數個鰭片之第二子集係由介電質材料所組成。
在另一個實施例中,提供一種半導體結構。此結構包含半導體基板、形成於該半導體基板上的複數個鰭片,其 中該複數個鰭片之第一子集係由半導體材料所組成,以及其中該複數個鰭片之第二子集係由介電質材料所組成。
在另一個實施例中,提供一種將半導體結構上的複數個半導體鰭片之子集轉化成介電質鰭片的方法。該方法包含遮蔽該複數個鰭片之第一子集,而留下該複數個鰭片之第二子集成為未遮蔽的鰭片,以及將氣體團簇離子束施加於該未遮蔽的鰭片,以將該未遮蔽的鰭片轉化為介電質鰭片。
在另一個實施例中,提供一種將半導體結構上的複數個半導體鰭片之子集轉化成介電質鰭片的方法。該方法包含遮蔽該複數個鰭片之第一子集,而留下該複數個鰭片之第二子集成為未遮蔽的鰭片,以及將離子植入施加於該未遮蔽的鰭片,以將該未遮蔽的鰭片轉化為介電質鰭片。
100‧‧‧絕緣體上半導體(SOI)半導體結構
102‧‧‧半導體基板
104‧‧‧絕緣體層
106‧‧‧半導體鰭片
200‧‧‧SOI半導體結構
202‧‧‧半導體基板
204‧‧‧絕緣體層
206A‧‧‧介電質鰭片
206B‧‧‧半導體鰭片
208‧‧‧遮罩
300‧‧‧SOI半導體300
302‧‧‧半導體基板
304‧‧‧絕緣體層
306A‧‧‧氮化矽鰭片
306B‧‧‧半導體鰭片
308‧‧‧遮罩
400‧‧‧半導體結構
402‧‧‧半導體基板
404‧‧‧絕緣體層
406A‧‧‧氮化矽鰭片
406B‧‧‧半導體鰭片
408‧‧‧遮罩
502‧‧‧半導體基板
504‧‧‧絕緣體層
506A‧‧‧鰭片
514‧‧‧外部
516‧‧‧中心部分
600‧‧‧SOI半導體結構
602‧‧‧半導體基板
604‧‧‧絕緣體層
606A‧‧‧介電質鰭片
606B‧‧‧矽鰭片
700‧‧‧SOI半導體結構
706A‧‧‧半導體鰭片
706B‧‧‧半導體鰭片
706C‧‧‧介電質鰭片
706D‧‧‧半導體鰭片
706E‧‧‧介電質鰭片
706F‧‧‧半導體鰭片
706G‧‧‧介電質鰭片
706H‧‧‧半導體鰭片
706J‧‧‧半導體鰭片
718‧‧‧磊晶區
720‧‧‧磊晶區
722‧‧‧磊晶區
724‧‧‧磊晶區
800‧‧‧半導體結構
802‧‧‧矽基板
806‧‧‧鰭片
900‧‧‧半導體結構
902‧‧‧半導體基板
906A‧‧‧鰭片
906B‧‧‧半導體鰭片
908‧‧‧遮罩
1000‧‧‧半導體結構
1002‧‧‧矽基板
1006A‧‧‧鰭片
1006B‧‧‧鰭片
1008‧‧‧遮罩
1100‧‧‧半導體結構
1102‧‧‧矽基板
1106‧‧‧介電質鰭片
1109A‧‧‧鰭片部分
1109B‧‧‧水平部分
1200‧‧‧半導體結構
1206A‧‧‧鰭片
1206B‧‧‧鰭片
1206C‧‧‧介電質鰭片
1206D‧‧‧半導體鰭片
1206E‧‧‧介電質鰭片
1206F‧‧‧半導體鰭片
1206G‧‧‧介電質鰭片
1206H‧‧‧半導體鰭片
1206J‧‧‧半導體鰭片
1218‧‧‧磊晶區
1220‧‧‧磊晶區
1222‧‧‧磊晶區
1224‧‧‧磊晶區
1300‧‧‧流程圖
1350‧‧‧製程步驟
1352‧‧‧製程步驟
1354‧‧‧製程步驟
1356‧‧‧製程步驟
1358‧‧‧製程步驟
A‧‧‧角度
G‧‧‧束
T‧‧‧厚度
在考量以下結合附圖的描述之後,本發明的結構、操作及優點將變得更加顯而易見。該等圖式係意圖為說明性的,而非限制性的。
為了清楚地說明,可以將一些圖式中的某些元件省略或不依比例圖示。剖面視圖可以處於「切片」或「近視」的剖面視圖的形式,並且為了清楚地說明,省略某些在「真實」的剖面視圖中可能以其他方式可見的背景線。
往往可以在製圖的各個圖式中使用相似的數字來指稱類似的元件,在這種情況下,通常最後兩個重要的位數可以是相同的,最重要的位數為圖式的數字。此外,為了清楚起見,可以在某些製圖中省略一些參考數字。
第1圖圖示在本發明之一實施例起點的SOI半導體結構。
第2圖圖示在後續施加遮罩於鰭片子集上方的處理步驟之後的SOI半導體結構。
第3圖圖示在後續施加氣體團簇離子束於該結構的處理步驟之後的SOI半導體結構。
第4圖圖示將氣體團簇離子束有角度地施加於該結構的替代實施例。
第5圖圖示本發明的替代實施例具有部分轉化的鰭片結構之細節。
第6圖圖示在後續去除遮罩的處理步驟之後的SOI半導體結構。
第7圖圖示在後續鰭片合併的處理步驟之後的SOI半導體結構。
第8圖圖示在本發明之一實施例起點的整塊半導體結構。
第9圖圖示隨後在鰭片的子集上方施加遮罩的處理步驟之後的整塊半導體結構。
第10圖圖示在隨後對該結構施加氣體團簇離子束的處理步驟之後的整塊半導體結構。
第11圖圖示在後續去除遮罩的處理步驟之後的整塊半導體結構。
第12圖圖示在後續鰭片合併的處理步驟之後的整塊半導體結構。
第13圖為表示依據本發明之一實施例的方法之製程步驟的流程圖。
finFET製造的實際問題在於某些finFET可能包含多個需要磊晶合併鰭片組的鰭片,而其他的finFET則可以使用未合併的鰭片。某些元件(例如SRAM)可能需要具有合併及未合併鰭片的finFET。
在現有技術的製程中,一些鰭片被去除以便利合併的和未合併的鰭片。這種方法存在有各種問題。去除暫置鰭片造成鰭片密度改變,從而使暫置的閘極多晶矽不平坦,導致在後續的置換金屬閘極製程(RMG)中有嚴峻的挑戰。
此外,去除暫置鰭片會增加未合併鰭片之間的空間,但由於橫向的生長和磊晶的形態,去除單一個暫置鰭片並無法提供足夠的邊緣來完全防止意外的鰭片合併。因此,通常需要去除多個暫置鰭片、減小電路密度,而這會增加SRAM或其它積體電路的大小。
本發明的實施例藉由使用氣體團簇離子束製程來將半導體(矽)鰭片轉換成絕緣介電質鰭片而克服上述的缺點。
第1圖圖示在本發明之一實施例起點的絕緣體上半導體(SOI)半導體結構100。半導體結構100包含半導體基板102。半導體基板102形成半導體結構100的基礎。半導體基板102可以由幾種習知半導體材料的任何一種製成,例如矽、鍺、矽-鍺合金、矽碳合金、矽-鍺-碳合金、砷化鎵、砷化銦、磷化銦、III-V族化合物半導體材料、II-VI 族化合物半導體材料、有機半導體材料及其它的化合物半導體材料。設置在半導體基板102上的是絕緣體層104。絕緣體層104可以包含深埋氧化物(BOX)層。薄的半導體層被配置在絕緣體層104的頂部上。該薄的半導體層可以由矽所組成。在替代的實施例中,矽鍺、鍺、III-V族化合物半導體、II-V族半導體或這些材料的組合可被用於在絕緣體層104的頂部上形成半導體層。使用工業標準的沉積和圖案化技術在絕緣體層104上形成複數個半導體鰭片106。
第2圖圖示在後續施加遮罩208於鰭片子集上方的處理步驟之後的SOI半導體結構200。如前所述,可以在製圖的各個圖式中使用相似的數字來指稱相似的元件,在這種情況下,通常最後兩個重要的位數可以是相同的。例如,第2圖的半導體基板202與第1圖的半導體基板102類似。將遮罩208之區域沉積在選擇將被保留作為半導體鰭片的鰭片(一般指示為206B)上方。未被遮罩208之區域覆蓋的未遮蔽(曝露的)鰭片通常被指示為206A。曝露出的鰭片將在即將來臨的製程步驟中被轉換成介電質鰭片。在一些實施例中,用於遮罩208的材料是由光阻所組成的。在其他的實施例中,用於遮罩208的材料是硬遮罩,例如氧化矽。可以使用工業標準的圖案化技術在所需將被保持作為半導體鰭片的鰭片上方形成遮罩區域。
第3圖圖示在後續將氣體團簇離子束(以標示為「G」的箭頭指示)施加於該結構的處理步驟之後的SOI半導體300。在氣體團簇離子束(GCIB)的處理中,表 面被高能量的氣相原子團簇束轟擊。當高壓氣體(例如10個大氣壓)超音速擴展到真空中(例如I x 10-5托)、冷卻、然後凝結成弱離子化的團簇時即形成團簇。離子化的團簇被靜電加速到非常高的速度,並被聚焦成撞擊基板表面的緊束。相對於更加分散的離子植入製程,團簇離子的原子幾乎同時撞擊基板原子並與基板原子交互作用。在一個實施例中,GCIB物種是能量範圍從約1KeV至約100KeV及劑量範圍從約每立方公分5E13個原子至約每立方公分2E15個原子的氮。氣體團簇離子束係藉由GCIB工具施加。當束G撞擊曝露的鰭片(通常圖示為306A)時,矽鰭片被轉化成氮化矽鰭片。因此,半導體鰭片被轉化為介電質鰭片。在其他的實施例中,可以使用氧物種來取代氮物種,在這種情況下,曝露的矽鰭片被轉化成氧化矽鰭片。在另一個實施例中,氧和氮物種皆可以使用,在這種情況下,曝露的矽鰭片被轉化成氮氧化矽鰭片。在另一個實施例中,半導體鰭片包含矽鍺並且可以使用氮物種,在這種情況下,曝露的矽鍺鰭片被轉化成矽鍺氮化物鰭片。轉化後的介電質鰭片可作為即將來臨的鰭片合併製程中的阻障。本發明的其他實施例可以利用離子植入來取代GCIB。也就是說,一些實施例可以包含將離子植入應用於未遮蔽的鰭片,以將未遮蔽的鰭片轉化成介電質鰭片,利如氮化矽鰭片。
第4圖圖示半導體結構400,其中依據本發明的替代實施例正在進行將氣體團簇離子束有角度地施加於該結構。在本實施例中,在束G係以相對於垂直的角度A施加。 束G可以以範圍從0(垂直)到A的各種中間角度施加。在一些實施例中,A的範圍係從距離垂直約10度到距離垂直約20度。以一角度施加氣體團簇離子束G允許整個鰭片被以比在垂直(豎直向下)的情況下(參見第3圖)更低的能量設定轉化成介電質。在理想的是避免由於過高的氣體團簇離子束能量造成結構損傷的情況下,可以使用本實施例來將能量保持較低。
第5圖圖示本發明的替代實施例具有部分轉化的鰭片結構之細節。鰭片506A是由外部514所組成,外部514是由轉化的介電質所組成,該轉化的介電質例如氧化物或氮化物,並且外部514圍繞由矽組成的中心部分516。此實施例利用較低能量的GCIB設定,使得整個鰭片不被轉化成介電質。可以以各種角度施加GCIB束(參見第4圖),使得外部514被轉化為介電質,但能量設定使得GCIB束不會將中心部分516轉化為介電質,因此,中心部分516仍然是矽。然而,隨著外部514被轉化為介電質,鰭片506A仍然可以達到作為介電質鰭片的目的。
第6圖圖示在後續去除遮罩(與第3圖的308比較)的處理步驟之後的SOI半導體層600的結構。可以使用僅去除遮罩材料但不會明顯影響矽鰭片606B、介電質鰭片606A或絕緣體層604的選擇性製程來去除該遮罩。介電質鰭片606A可以與矽鰭片606B交錯,使得兩個矽鰭片之間配置有單個介電質鰭片,而且每個介電質鰭片之間配置一或多個矽鰭片。
第7圖圖示在後續鰭片合併的處理步驟之後的SOI半導體結構700。在實施例中,將鰭片與磊晶生長的矽、矽鍺、摻雜碳的矽或這些材料的任何適當組合合併。磊晶生長的矽可以被原位摻雜各種摻雜劑,取決於特定的半導體鰭片是否為NFET或PFET的一部分。值得注意的是,對於第7圖,為了說明半導體結構的目的,將每個鰭片標示一個元件符號。鰭片706A、706B、706D、706F、706H及706J為半導體鰭片,標示為白色。鰭片706C、706E及706G為介電質鰭片,標示為陰影。
磊晶區718合併鰭片706A和706B。介電質鰭片706C作為隔離區,從而防止磊晶區718影響介電質鰭片706C右邊的半導體鰭片706D、706F、706H及706J。在一些實施例中,鰭片706A、706B包含NFET電晶體,並且磊晶區718可以被原位摻雜砷或磷。
磊晶區722被介電質鰭片706C和介電質鰭片706E包圍。在一些實施例中,半導體鰭片706D可以包含PFET電晶體,而且磊晶區722可以被原位摻雜硼並與半導體鰭片706D處於直接物理接觸。半導體鰭片706D可以是單一鰭片finFET之一部分。這樣的finFET在各種應用中具有用途,例如用於SRAM的拉上閘極(pull-up)。因此,有利的是能夠在兩個介電質鰭片(706C和706E)之間配置單個半導體鰭片(706D),以支援這些應用。
磊晶區724被介電質鰭片706E和介電質鰭片706G包圍。磊晶區724與半導體鰭片706F處於直接物理接觸。 半導體鰭片706F可以是另外的單一鰭片finFET之一部分。在一些實施例中,半導體鰭片706F可以是單一鰭片PFET之一部分,而且磊晶區724可以被以類似於磊晶區722的方式摻雜硼。
磊晶區720合併鰭片706H和706J。介電質鰭片706G作為隔離區,從而防止磊晶區720影響介電質鰭片706G左邊的半導體鰭片706A、706B、706D及706F。在一些實施例中,鰭片706H和706J包含NFET電晶體,並且磊晶區720可以被以類似於磊晶區718的方式原位摻雜砷或磷。從這個點之後,可以使用工業標準的製程流程來完成finFET。
第8圖圖示在本發明之一實施例起點的整塊半導體結構800。半導體結構800包含整塊矽基板802,矽基板802可以處於晶圓或晶粒或一部分晶圓或晶粒的形式。複數個鰭片(通常標示為806)被形成在矽基板802上。可以使用工業標準的圖案化技術來形成鰭片806。不像SOI結構100(參見第1圖),在鰭片806和矽基板802之間沒有毯覆的絕緣體層(與第1圖的104比較)。
第9圖圖示隨後在鰭片的子集上方施加遮罩908的處理步驟之後的整塊半導體結構900。遮罩908之區域被沉積在選擇被保留作為半導體鰭片的鰭片(通常標示為906B)上方。未被遮罩908之區域覆蓋的曝露鰭片通常被指定為906A。在一些實施例中,遮罩908的材料是由光阻所組成的。在其他的實施例中,用於遮罩908的材料為氧化物,例如氧化矽。可以使用工業標準的圖案化技術來在要被保持作為半 導體鰭片的鰭片上方形成遮罩區域。
第10圖圖示在隨後對結構施加氣體團簇離子束(以標記為「G」的箭頭指示)的處理步驟之後的整塊半導體結構1000。類似於對第3圖的SOI情況所描述的,氣體團簇離子束製程用以將曝露的鰭片從矽轉化成介電質材料,例如氧化矽或氮化矽。使用整塊半導體結構的一些實施例也可以利用如第4圖所示的有角度GCIB施加。由於沒有毯覆的絕緣體(例如第1圖的104),部分的矽基板1002也被轉化成介電質材料,而產生「倒T區域」的介電質(例如氮化矽、氧化矽、氮氧化矽或矽鍺氮化物)。
第11圖圖示在後續去除遮罩(與第10圖的遮罩1008之區域比較)的處理步驟之後的整塊半導體結構1100。如第11圖所示,介電質鰭片(通常指示為1106)各包含鰭片部分1109A和水平部分1109B,水平部分1109B位於鰭片部分1109A下方並鄰接鰭片部分1109A。在一些實施例中,水平部分1109B具有範圍從約3奈米至約100奈米的厚度T。
第12圖圖示在後續鰭片合併的處理步驟之後的整塊半導體結構1200。該等鰭片係以類似於對第7圖中的SOI結構描述的類似方式合併。一些finFET可以包含多個合併後的鰭片,並且其他的finFET可以是單鰭片的finFET。磊晶區1218合併鰭片1206A和1206B。介電質鰭片1206C用以作為隔離區,從而防止磊晶區1218影響介電質鰭片1206C右邊的半導體鰭片1206D、1206F、1206H及1206J。在一些實施例中,鰭片1206A和1206B包含NFET電晶體,並且磊晶區1218 可以被原位摻雜砷或磷。
磊晶區1222被介電質鰭片1206C和介電質鰭片1206E包圍。在一些實施例中,半導體鰭片1206D可以是PFET電晶體的一部分,而且磊晶區1222可以被原位摻雜硼並與半導體鰭片1206D處於直接物理接觸。半導體鰭片1206D可以是單一鰭片finFET之一部分。這樣的finFET在各種應用中具有用途,例如用於SRAM的拉上閘極(pull-up)。因此,有利的是能夠在兩個介電質鰭片(1206C和1206E)之間配置單個半導體鰭片(1206D),以支援這些應用。
磊晶區1224被介電質鰭片1206E和介電質鰭片1206G包圍。磊晶區1224與半導體鰭片1206F處於直接物理接觸。半導體鰭片1206F可以是另外的單一鰭片finFET之一部分。在一些實施例中,半導體鰭片1206F可以是單一鰭片PFET之一部分,而且磊晶區1224可以被以類似於磊晶區1222的方式摻雜硼。
磊晶區1220合併鰭片1206H和1206J。介電質鰭片1206G用以作為隔離區,從而防止磊晶區1220影響介電質鰭片1206G左邊的半導體鰭片1206A、1206B、1206D及1206F。在一些實施例中,鰭片1206H和1206J是NFET電晶體的一部分,並且磊晶區1220可以被以類似於磊晶區1218的方式原位摻雜砷或磷。從這個點之後,可以使用工業標準的製程流程來完成finFET。
第13圖為表示依據本發明之一實施例的方法之製 程步驟的流程圖1300。在製程步驟1350中,鰭片被形成在基板上(參見第1圖的106)。在製程步驟1352中,將遮罩沉積在鰭片的子集上方(參見第2圖的208)。在製程步驟1354中,將氣體團簇離子束指向結構(參見第3圖和第4圖的G)。在製程步驟1356中,去除遮罩區域(參見第6圖)。在製程步驟1358中,經由磊晶生長的矽合併該等鰭片(參見第7圖的718、720、722及724)。
本發明之實施例提供具有介電質鰭片的finFET結構及製造方法。在實施例中,使用氣體團簇離子束(GCIB)工具來對曝露的鰭片施加離子束,而將鰭片從諸如矽的半導體材料轉化為諸如氮化矽或氧化矽的介電質。與在鰭片合併之前去除某些鰭片的一些現有技術不同的是,在本發明的實施例中並未去除鰭片。取而代之的是,半導體(矽)鰭片被轉化為介電質(氮化物/氧化物)鰭片,其中理想的是在積體電路(IC)上包含各種finFET元件的鰭片群之間具有隔離。
本發明之實施例的優點包括便利單一的暫置介電質鰭片提供可靠的隔離,從而提高電路密度。提高電路密度在諸如SRAM等應用中是重要的,因此,本發明的實施例非常適合使用在SRAM元件中。
本發明之實施例的另一個優點在於,藉由將介電質鰭片留在原處,而不是去除該等鰭片,所以在完成製造製程的過程中被沉積在鰭片上方的各個層之形貌更加均勻。更均勻的形貌可以減少下游處理步驟的複雜度,從而可以用來改良產品的產率。
雖然已經圖示出本發明並就某些較佳實施例來描述本發明,但在閱讀和瞭解本說明書及附圖後,本技術領域中具有通常知識的其他人士將可輕易思及某些等同的改變及修改。特別是關於由上述部件(組件、裝置、電路等)執行的各種功能,除非另有指明,否則用以描述這些部件的用語(包括「手段」的引用)旨在對應於執行所述部件之特定功能(即功能上等同)的任何部件,即使結構上並未等同於在本文圖示的發明示例性實施例中執行功能的揭示結構。此外,雖然已經就幾個實施例中的一個實施例揭示本發明的特定特徵,但還可以將這樣的特徵與其他實施例的一或多個特徵結合,因為該結合可能是任何給定的或特定的應用所需的並且有利的。
300‧‧‧SOI半導體300
302‧‧‧半導體基板
304‧‧‧絕緣體層
306A‧‧‧氮化矽鰭片
306B‧‧‧半導體鰭片
308‧‧‧遮罩
G‧‧‧束

Claims (9)

  1. 一種半導體結構,包含:一半導體基板;一絕緣體層,位於該半導體基板上;複數個鰭片,位於該絕緣體層上;其中該複數個鰭片之一第一子集係由一半導體材料所組成,以及其中該複數個鰭片之一第二子集係由一介電質材料所組成,以及其中該複數個鰭片之該第二子集係由氮化矽所組成並與該複數個鰭片之該第一子集交錯;以及其中來自該複數個鰭片之該第一子集的鰭片群被使用磊晶生長的矽合併,及其中來自該複數個鰭片之該第一子集的至少一鰭片群被使用n摻雜的、磊晶生長的矽合併,及其中來自該複數個鰭片之該第一子集的至少另一鰭片群被使用p型摻雜的、磊晶生長的矽合併。
  2. 一種半導體結構,包含:一半導體基板;複數個鰭片,形成於該半導體基板上;其中該複數個鰭片之一第一子集係由一半導體材料所組成,以及其中該複數個鰭片之一第二子集係由一介電質材料所組成,其中該複數個鰭片之該第二子集係由氮化矽所組成並與該複數個鰭片之該第一子集交錯,以及其中來自該複數個鰭片之該第一子集的鰭片群被使用磊晶生長的半導體材料合 併,及其中來自該複數個鰭片之該第一子集的至少一鰭片群被使用n摻雜的、磊晶生長的半導體材料合併,及其中來自該複數個鰭片之該第一子集的至少另一鰭片群被使用p型摻雜的、磊晶生長的半導體材料合併。
  3. 一種半導體結構,包含:一半導體基板;複數個鰭片,位於該半導體基板上;其中該複數個鰭片之一第一子集係由一半導體材料所組成,以及其中該複數個鰭片之一第二子集係由一介電質材料所組成,以及其中該複數個鰭片之該第二子集係由氮化矽所組成,進一步包含一氮化矽之倒T區域,其中該倒T區域之一第一部分係由來自該第二鰭片子集的一鰭片所組成,以及其中該倒T區域之一第二部分係由該半導體基板之一頂部表面所組成,該頂部表面鄰接來自該第二鰭片子集的該鰭片。
  4. 一種將一半導體結構上的複數個半導體鰭片之一子集轉化成介電質鰭片的方法,包含以下步驟:遮蔽該複數個鰭片之一第一子集,而留下該複數個鰭片之一第二子集成為未遮蔽的鰭片;以及將一氣體團簇離子束施加於該未遮蔽的鰭片,以將該未遮蔽的鰭片轉化為介電質鰭片。
  5. 如請求項4所述之方法,其中遮蔽該第一鰭片子集之步驟包含以下步驟:沉積光阻或沉積氧化物。
  6. 如請求項4所述之方法,其中將一氣體團簇離子束施加於該未遮蔽的鰭片之步驟包含以下步驟:以一角度施加一氣體團簇離子束,該角度之範圍係從距離垂直約10度至約20度。
  7. 如請求項4所述之方法,其中將一氣體團簇離子束施加於該未遮蔽的鰭片之步驟包含以下步驟:使用一氮物種施加一氣體團簇離子束,該氮物種之一能量範圍係從1KeV至100KeV,並且該氮物種之一劑量範圍係從每立方公分約5E13個原子至約每立方公分約2E15個原子。
  8. 一種將一半導體結構上的複數個半導體鰭片之一子集轉化成介電質鰭片的方法,包含以下步驟:遮蔽該複數個鰭片之一第一子集,而留下該複數個鰭片之一第二子集成為未遮蔽的鰭片;以及將離子植入施加於該未遮蔽的鰭片,以將該未遮蔽的鰭片轉化為介電質鰭片。
  9. 如請求項8所述之方法,其中遮蔽該第一鰭片子集包含沉積光阻或沉積氧化物。
TW102136786A 2012-11-26 2013-10-11 利用氣體團簇離子束形成暫置鰭片 TWI584477B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US13/684,842 US8946792B2 (en) 2012-11-26 2012-11-26 Dummy fin formation by gas cluster ion beam

Publications (2)

Publication Number Publication Date
TW201428970A TW201428970A (zh) 2014-07-16
TWI584477B true TWI584477B (zh) 2017-05-21

Family

ID=50772491

Family Applications (1)

Application Number Title Priority Date Filing Date
TW102136786A TWI584477B (zh) 2012-11-26 2013-10-11 利用氣體團簇離子束形成暫置鰭片

Country Status (3)

Country Link
US (2) US8946792B2 (zh)
TW (1) TWI584477B (zh)
WO (1) WO2014081488A1 (zh)

Families Citing this family (34)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP6251604B2 (ja) * 2013-03-11 2017-12-20 ルネサスエレクトロニクス株式会社 フィンfet構造を有する半導体装置及びその製造方法
US8916932B2 (en) * 2013-05-08 2014-12-23 International Business Machines Corporation Semiconductor device including FINFET structures with varied epitaxial regions, related method and design structure
US9054218B2 (en) * 2013-08-07 2015-06-09 International Business Machines Corporation Method of manufacturing a FinFET device using a sacrificial epitaxy region for improved fin merge and FinFET device formed by same
US20150145069A1 (en) * 2013-11-22 2015-05-28 Qualcomm Incorporated Silicon germanium finfet formation
US9431523B2 (en) * 2014-01-16 2016-08-30 Globalfoundries Inc. Local thinning of semiconductor fins
US9599896B2 (en) * 2014-03-14 2017-03-21 Taiwan Semiconductor Manufacturing Company, Ltd. Photoresist system and method
US9613954B2 (en) 2014-07-08 2017-04-04 International Business Machines Corporation Selective removal of semiconductor fins
EP3178115A4 (en) * 2014-08-05 2018-03-07 Intel Corporation Apparatus and methods to create microelectronic device isolation by catalytic oxide formation
US9543417B2 (en) * 2014-11-07 2017-01-10 Taiwan Semiconductor Manufacturing Company, Ltd. High mobility devices and methods of forming same
TWI642110B (zh) * 2014-12-03 2018-11-21 聯華電子股份有限公司 半導體元件及其製作方法
US9397099B1 (en) 2015-01-29 2016-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a plurality of fins and method for fabricating the same
KR102270916B1 (ko) 2015-04-06 2021-06-29 삼성전자주식회사 반도체 장치 및 이의 제조 방법
CN106158648B (zh) * 2015-04-13 2019-12-27 中芯国际集成电路制造(上海)有限公司 制备Fin FET器件的方法
KR102415327B1 (ko) 2015-06-01 2022-06-30 삼성전자주식회사 비활성-핀을 갖는 반도체 소자 및 그 형성 방법
KR102323943B1 (ko) 2015-10-21 2021-11-08 삼성전자주식회사 반도체 장치 제조 방법
US20170140992A1 (en) * 2015-11-16 2017-05-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin field effect transistor and method for fabricating the same
CN107039275B (zh) * 2016-02-04 2019-11-05 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法
KR102421730B1 (ko) 2016-04-05 2022-07-18 삼성전자주식회사 레이아웃 방법 및 반도체 소자
US10256328B2 (en) * 2016-05-18 2019-04-09 International Business Machines Corporation Dummy dielectric fins for finFETs with silicon and silicon germanium channels
US9685440B1 (en) 2016-06-29 2017-06-20 International Business Machines Corporation Forming fins utilizing alternating pattern of spacers
US10217867B2 (en) 2016-09-07 2019-02-26 International Business Machines Corporation Uniform fin dimensions using fin cut hardmask
US9799570B1 (en) 2017-02-13 2017-10-24 International Business Machines Corporation Fabrication of vertical field effect transistors with uniform structural profiles
US10510873B2 (en) * 2017-06-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10529833B2 (en) * 2017-08-28 2020-01-07 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with a fin and gate structure and method making the same
US10692769B2 (en) * 2017-08-29 2020-06-23 Taiwan Semiconductor Manufacturing Co., Ltd Fin critical dimension loading optimization
US10347751B2 (en) * 2017-08-30 2019-07-09 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned epitaxy layer
US10483378B2 (en) * 2017-08-31 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxial features confined by dielectric fins and spacers
US10714394B2 (en) * 2017-09-28 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fin isolation structures of semiconductor devices
CN109786458B (zh) * 2017-11-13 2022-02-15 中芯国际集成电路制造(上海)有限公司 半导体器件及其形成方法
US11302790B2 (en) * 2018-02-23 2022-04-12 Intel Corporation Fin shaping using templates and integrated circuit structures resulting therefrom
US10700062B2 (en) 2018-10-12 2020-06-30 International Business Machines Corporation Vertical transport field-effect transistors with uniform threshold voltage
CN111370488B (zh) * 2018-12-26 2024-04-16 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
US11087831B2 (en) 2019-08-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around memory devices
CN113327894A (zh) * 2020-02-28 2021-08-31 中芯国际集成电路制造(上海)有限公司 半导体结构及其形成方法

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201115739A (en) * 2009-10-27 2011-05-01 Taiwan Semiconductor Mfg Integrated circuit structures
TW201209998A (en) * 2010-05-27 2012-03-01 Ibm Integrated circuit with finFETs and MIM fin capacitor

Family Cites Families (29)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5130268A (en) * 1991-04-05 1992-07-14 Sgs-Thomson Microelectronics, Inc. Method for forming planarized shallow trench isolation in an integrated circuit and a structure formed thereby
US6124620A (en) * 1998-05-14 2000-09-26 Advanced Micro Devices, Inc. Incorporating barrier atoms into a gate dielectric using gas cluster ion beam implantation
US5907780A (en) * 1998-06-17 1999-05-25 Advanced Micro Devices, Inc. Incorporating silicon atoms into a metal oxide gate dielectric using gas cluster ion beam implantation
US6989318B2 (en) * 2003-10-22 2006-01-24 International Business Machines Corporation Method for reducing shallow trench isolation consumption in semiconductor devices
EP1727194A1 (en) 2005-05-27 2006-11-29 Interuniversitair Microelektronica Centrum vzw ( IMEC) Method for high topography patterning
US7541240B2 (en) * 2005-10-18 2009-06-02 Sandisk Corporation Integration process flow for flash devices with low gap fill aspect ratio
US20070287256A1 (en) * 2006-06-07 2007-12-13 International Business Machines Corporation Contact scheme for FINFET structures with multiple FINs
US7498265B2 (en) * 2006-10-04 2009-03-03 Micron Technology, Inc. Epitaxial silicon growth
US20080128797A1 (en) * 2006-11-30 2008-06-05 International Business Machines Corporation Structure and method for multiple height finfet devices
US7880241B2 (en) * 2007-02-23 2011-02-01 International Business Machines Corporation Low-temperature electrically activated gate electrode and method of fabricating same
US7612405B2 (en) * 2007-03-06 2009-11-03 Taiwan Semiconductor Manufacturing Company, Ltd. Fabrication of FinFETs with multiple fin heights
US7795669B2 (en) 2007-05-30 2010-09-14 Infineon Technologies Ag Contact structure for FinFET device
US8039376B2 (en) * 2007-11-14 2011-10-18 International Business Machines Corporation Methods of changing threshold voltages of semiconductor transistors by ion implantation
US7749849B2 (en) 2007-12-18 2010-07-06 Micron Technology, Inc. Methods of selectively oxidizing semiconductor structures, and structures resulting therefrom
US7700449B2 (en) * 2008-06-20 2010-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Forming ESD diodes and BJTs using FinFET compatible processes
US7968422B2 (en) 2009-02-09 2011-06-28 Tel Epion Inc. Method for forming trench isolation using a gas cluster ion beam growth process
US7947582B2 (en) * 2009-02-27 2011-05-24 Tel Epion Inc. Material infusion in a trap layer structure using gas cluster ion beam processing
US8053299B2 (en) * 2009-04-17 2011-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabrication of a FinFET element
JP2011040458A (ja) 2009-08-07 2011-02-24 Renesas Electronics Corp 半導体装置およびその製造方法
JP5465958B2 (ja) * 2009-09-01 2014-04-09 ルネサスエレクトロニクス株式会社 半導体装置およびその製造方法
US8048788B2 (en) 2009-10-08 2011-11-01 Tel Epion Inc. Method for treating non-planar structures using gas cluster ion beam processing
US8709928B2 (en) 2010-01-19 2014-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor fin device and method for forming the same using high tilt angle implant
US8207032B2 (en) 2010-08-31 2012-06-26 Micron Technology, Inc. Methods of forming pluralities of vertical transistors, and methods of forming memory arrays
CN102420232B (zh) 2010-09-28 2014-08-13 中国科学院微电子研究所 一种闪存器件及其形成方法
US8679914B2 (en) * 2010-11-02 2014-03-25 Micron Technology, Inc. Method of forming a chalcogenide material and methods of forming a resistive random access memory device including a chalcogenide material
US8728908B2 (en) * 2011-08-08 2014-05-20 Globalfoundries Inc. Methods of forming a dielectric cap layer on a metal gate structure
US8609480B2 (en) * 2011-12-21 2013-12-17 Globalfoundries Inc. Methods of forming isolation structures on FinFET semiconductor devices
US8946081B2 (en) * 2012-04-17 2015-02-03 International Business Machines Corporation Method for cleaning semiconductor substrate
US8603881B1 (en) * 2012-09-20 2013-12-10 International Business Machines Corporation Raised trench metal semiconductor alloy formation

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW201115739A (en) * 2009-10-27 2011-05-01 Taiwan Semiconductor Mfg Integrated circuit structures
TW201209998A (en) * 2010-05-27 2012-03-01 Ibm Integrated circuit with finFETs and MIM fin capacitor

Also Published As

Publication number Publication date
US20150064874A1 (en) 2015-03-05
US20140145248A1 (en) 2014-05-29
US9269629B2 (en) 2016-02-23
WO2014081488A1 (en) 2014-05-30
TW201428970A (zh) 2014-07-16
US8946792B2 (en) 2015-02-03

Similar Documents

Publication Publication Date Title
TWI584477B (zh) 利用氣體團簇離子束形成暫置鰭片
US10347745B2 (en) Methods of forming bottom and top source/drain regions on a vertical transistor device
KR20120128531A (ko) 반도체 장치 및 그 제조 방법
US10707224B2 (en) FinFET vertical flash memory
US10276685B2 (en) Heterojunction tunnel field effect transistor fabrication using limited lithography steps
CN103794498B (zh) 一种半导体器件及其制备方法
CN108231864B (zh) 半导体装置及其制造方法
US20150064854A1 (en) Spacerless fin device with reduced parasitic resistance and capacitance and method to fabricate same
US7591659B2 (en) Method and structure for second spacer formation for strained silicon MOS transistors
CN109216458A (zh) 半导体器件及其制造方法
US10374064B2 (en) Fin field effect transistor complementary metal oxide semiconductor with dual strained channels with solid phase doping
US20210143065A1 (en) Method of making 3d source drains with hybrid stacking for optimum 3d logic layout
US9431425B1 (en) Directly forming SiGe fins on oxide
US7557000B2 (en) Etching method and structure using a hard mask for strained silicon MOS transistors
US9299787B1 (en) Forming IV fins and III-V fins on insulator
US9231079B1 (en) Stress memorization techniques for transistor devices
US20130302954A1 (en) Methods of forming fins for a finfet device without performing a cmp process
US9449881B1 (en) Methods of forming fins for FinFET semiconductor devices and the resulting devices
CN107958934A (zh) 不对称鳍状结构及其制作方法
US9455335B2 (en) Techniques for ion implantation of non-planar field effect transistors
US20220102345A1 (en) Plurality of 3d vertical cmos devices for high performance logic
TW201715617A (zh) 用於電晶體裝置之應力記憶技術
CN113178476A (zh) 一种鳍式场效应晶体管及气体簇离子束形成钝鳍的方法
US20220336612A1 (en) Transistor including bottom isolation and manufacturing method thereof
US9368343B1 (en) Reduced external resistance finFET device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees