CN109216458A - 半导体器件及其制造方法 - Google Patents

半导体器件及其制造方法 Download PDF

Info

Publication number
CN109216458A
CN109216458A CN201711351426.1A CN201711351426A CN109216458A CN 109216458 A CN109216458 A CN 109216458A CN 201711351426 A CN201711351426 A CN 201711351426A CN 109216458 A CN109216458 A CN 109216458A
Authority
CN
China
Prior art keywords
fin structure
layer
ion implanting
angle
ion
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Granted
Application number
CN201711351426.1A
Other languages
English (en)
Other versions
CN109216458B (zh
Inventor
王参群
聂俊峰
戴巧婷
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Original Assignee
Taiwan Semiconductor Manufacturing Co TSMC Ltd
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Manufacturing Co TSMC Ltd filed Critical Taiwan Semiconductor Manufacturing Co TSMC Ltd
Publication of CN109216458A publication Critical patent/CN109216458A/zh
Application granted granted Critical
Publication of CN109216458B publication Critical patent/CN109216458B/zh
Active legal-status Critical Current
Anticipated expiration legal-status Critical

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/66803Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with a step of doping the vertical sidewall, e.g. using tilted or multi-angled implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L29/7855Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET with at least two independent gates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26586Bombardment with radiation with high-energy radiation producing ion implantation characterised by the angle between the ion beam and the crystal planes or the main crystal surface
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/266Bombardment with radiation with high-energy radiation producing ion implantation using masks
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/28008Making conductor-insulator-semiconductor electrodes
    • H01L21/28017Making conductor-insulator-semiconductor electrodes the insulator being formed after the semiconductor body, the semiconductor being silicon
    • H01L21/28158Making the insulator
    • H01L21/28167Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation
    • H01L21/28176Making the insulator on single crystalline silicon, e.g. using a liquid, i.e. chemical oxidation with a treatment, e.g. annealing, after the formation of the definitive gate conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76853Barrier, adhesion or liner layers characterized by particular after-treatment steps
    • H01L21/76855After-treatment introducing at least one additional element into the layer
    • H01L21/76859After-treatment introducing at least one additional element into the layer by ion implantation
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823431MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of transistors with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/4966Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET the conductor material next to the insulator being a composite material, e.g. organic material, TiN, MoSi2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/511Insulating materials associated therewith with a compositional variation, e.g. multilayer structures
    • H01L29/513Insulating materials associated therewith with a compositional variation, e.g. multilayer structures the variation being perpendicular to the channel plane
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/43Electrodes ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/49Metal-insulator-semiconductor electrodes, e.g. gates of MOSFET
    • H01L29/51Insulating materials associated therewith
    • H01L29/517Insulating materials associated therewith the insulating material comprising a metallic compound, e.g. metal oxide, metal silicate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Ceramic Engineering (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Abstract

本发明的实施例提供了半导体器件及其制造方法。在一种制造半导体器件的方法中,形成均具有上部和下部的鳍结构。下部嵌入在设置在衬底上方的隔离绝缘层中,而上部从隔离绝缘层处突出。在每个鳍结构的上部上方形成栅极介电层。在栅极介电层上方形成导电层。在导电层上方形成覆盖层。利用覆盖层对鳍结构进行离子注入操作。使用不同的注入角度多次执行离子注入操作以将离子引入至每个鳍结构的一个侧面中。

Description

半导体器件及其制造方法
技术领域
本公开的实施例涉及半导体集成电路和制造半导体集成电路的方法,更具体地,涉及一种制造包括鳍式场效应晶体管(FinFET)的半导体器件的方法。
背景技术
随着集成电路日渐按比例缩小并且对集成电路的速度要求日益增加,需要晶体管在尺寸越来越小的同时具有更高的驱动电流。场效应晶体管(FinFET)由此得到发展。FinFET包括衬底之上的垂直的半导体鳍。半导体鳍用于形成源极和漏极区域,以及源极和漏极区域之间的沟道区域。形成浅沟槽隔离(STI)区域以限定半导体鳍。FinFET还包括在半导体鳍的侧壁和顶面上形成的栅极堆叠件。由于FinFET具有三维沟道结构,对沟道的离子注入工艺需要特别小心以减小任何几何效应。
发明内容
根据本发明的一个方面,提供了一种制造半导体器件的方法,包括:在衬底上方形成鳍结构;以及对所述鳍结构执行离子注入操作,其中,使用不同的注入角度多次执行所述离子注入操作以将离子引入至所述鳍结构中的每个的一个侧面中。
根据本发明的另一个方面,提供了一种制造半导体器件的方法,包括:形成各自具有上部和下部的鳍结构,所述下部嵌入在设置在衬底上方的隔离绝缘层内并且所述上部从所述隔离绝缘层突出;在所述鳍结构的每个中的所述上部上方形成栅极介电层;在所述栅极介电层上方形成导电层;在所述导电层上方形成覆盖层;以及利用所述覆盖层对所述鳍结构进行离子注入操作,其中,使用不同的注入角度多次执行所述离子注入操作以将离子引入至所述鳍结构中的每个的一个侧面中。
根据本发明的又一个方面,提供了一种制造半导体器件的方法,包括:去除伪栅极结构,从而暴露出第一鳍结构和第二鳍结构,每个鳍结构均具有上部和下部,所述下部嵌入于设置在衬底上方的隔离绝缘层内并且所述上部从所述隔离绝缘层处突出,所述第一鳍结构沿X方向延伸;在所述第一鳍结构和所述第二鳍结构中的每个鳍结构的所述上部上方形成栅极介电层;在所述第一鳍结构和所述第二鳍结构中的每个鳍结构的所述栅极介电层上方形成导电层;在所述第一鳍结构和所述第二鳍结构中的每个鳍结构的所述导电层上方形成覆盖层;以及利用所述覆盖层对所述第一鳍结构和所述第二鳍结构进行离子注入操作,其中:使用不同的注入角度多次执行所述离子注入操作以将离子引入至所述第一鳍结构和所述第二鳍结构中的每个鳍结构的一个侧面中。
附图说明
当结合附图进行阅读时,根据下面详细的描述可以最佳地理解本发明的方面。应该强调的是,根据工业中的标准实践,各个部件未按比例绘制。实际上,为了清楚的讨论,各种部件的尺寸可以被任意增加或减少。
图1示出根据本公开的一个实施例的半导体器件制造工艺的各个阶段中的一个。
图2A和图2B示出根据本公开实施例的半导体器件制造工艺的各个阶段中的一个。
图3示出根据本公开实施例的半导体器件制造工艺的各个阶段中的一个。
图4A和图4B示出根据本公开实施例的半导体器件制造工艺的各个阶段中的一个。
图5A和图5B示出根据本公开实施例的半导体器件制造工艺的各个阶段中的一个。
图6A和图6B示出根据本公开实施例的半导体器件制造工艺的各个阶段中的一个。
图7A和图7B示出根据本公开实施例的半导体器件制造工艺的各个阶段中的一个。
图8A和图8B示出根据本公开实施例的半导体器件制造工艺的各个阶段中的一个。
图9示出根据本公开实施例的多角度离子注入工艺。
图10A、图10B、图10C、和图10D示出根据本公开实施例的多角度离子注入工艺。
图11示出由次级离子质谱法(SIMS)测量的鳍结构中的掺杂的氟量。
图12A和图12B示出根据本公开实施例的半导体器件制造工艺的各个阶段中的一个。
具体实施方式
应当理解,以下公开内容提供了许多用于实现本发明的不同特征的不同实施例或实例。下面将描述元件和布置的特定实例以简化本发明。当然这些仅是实例并不旨在限定。例如,元件的尺寸不限于所公开的范围或值,但可能依赖于工艺条件和/或器件所需的性能。此外,在以下描述中,在第二部件上方或者上形成第一部件可以包括第一部件和第二部件直接接触形成的实施例,并且也可以包括在第一部件和第二部件之间可以形成附加的部件,从而使得第一部件和第二部件可以不直接接触的实施例。为了简明和清楚,各个部件可任意地以不同比例绘制。在附图中,为了简单可以省略一些层/部件。
而且,为便于描述,在此可以使用诸如“在...之下”、“在...下方”、“下部”、“在...之上”、“上部”等的空间相对术语,以描述如图所示的一个元件或部件与另一个(或另一些)元件或部件的关系。除了图中所示的方位外,空间相对位置术语旨在包括器件在使用或操作中的不同方位。装置可以以其它方式定位(旋转90度或在其他方位),并且在本文中使用的空间关系描述符可同样地作相应地解释。另外,术语“由...制成”可以意为“包括”或者“由...组成”。此外,在接下来的制造工艺中,在描述的操作中/之间可以有一个或多个附加的操作,并且操作的顺序可以被改变。
所公开的实施例涉及一种半导体器件,更具体地,涉及一种场效应晶体管(FET)及其制造方法。诸如本文所公开的那些的实施例不仅适用于FinFET,而且适用于双栅极、环绕栅极、Ω栅极或全环栅(GAA)晶体管、和/或纳米线晶体管、或具有三维渠道结构任何合适的器件。
图1至图8B以及图12A至图12B示出根据本公开实施例的半导体器件制造工艺的各个阶段。应该理解,可以在由图1至图8B以及图12A至图12B示出的工艺之前、期间和之后提供附加操作,并且对于方法的附加的实施例,可以置换或消除以下所描述的一些操作。操作/工艺的顺序可交换。
首先,如图1所示,例如使用图案化工艺在衬底10上方形成鳍结构20。根据设计要求(例如,p型衬底或n型衬底),该衬底10可以包括各种掺杂区域。在一些实施例中,掺杂区域可以掺杂有p型或n型掺杂剂。例如,掺杂区域可掺杂有p型掺杂剂,诸如硼或BF2;n型掺杂剂,诸如磷或砷;和/或它们的组合。掺杂区域可配置为用于n型FinFET,或者可选地配置为用于P型FinFET。
在一些实施例中,衬底10可以由以下材料制成:合适的元素半导体,诸如硅、金刚石或锗;合适的合金或化合物半导体,诸如IV族化合物半导体(硅锗(SiGe)、碳化硅(SiC)、碳化硅锗(SiGeC)、GeSn、SiSn、SiGeSn)、III-V族化合物半导体(例如,砷化镓(GaAs)、砷化铟镓(InGaAs)、砷化铟(InAs)、磷化铟(InP)、锑化铟(InSb)、磷砷化镓(GaAsP)、或磷化镓铟(GaInP))等等。此外,衬底10可以包括为了性能增强而受到应变的外延层(epi层)和/或可以包括绝缘体上硅(SOI)结构。
可以通过任何合适的方法图案化鳍结构20。例如,可以使用包括双重图案化工艺或多重图案化工艺的一个或多个光刻工艺来图案化鳍结构。通常地,双重图案化或多重图案化工艺将光刻工艺和自对准工艺结合在一起,从而允许产生例如具有比使用单次且直接的光刻工艺可获得的间距更小的间距的图案。例如,在一个实施例中,在衬底上方形成牺牲层并且使用光刻工艺将其图案化。使用自对准工艺在图案化的牺牲层旁边形成间隔件。然后,去除牺牲层,然后可以使用剩余的间隔件或芯轴来图案化鳍结构。在图1中,示出三个鳍结构20。然而,鳍结构的数量不局限于三个。在一些实施例中,一个或多个伪鳍结构形成为与有源FinFET的鳍结构20相邻。
在形成鳍结构之后,在鳍结构20和衬底10上方设置隔离绝缘层30(例如,浅沟槽隔离(STI))。在一些实施例中,在形成隔离绝缘区域30之前,在衬底10和鳍结构20的底部的侧壁上方形成一个或多个衬层。在一些实施例中,衬层包括在衬底10和鳍结构20的底部的侧壁上形成的第一鳍衬层,以及在第一鳍衬层上形成的第二鳍衬层。在一些实施例中,衬层中的每个的厚度在约1nm和约20nm的范围之间。在一些实施例中,第一鳍衬层包括氧化硅并且具有在大约0.5nm与大约5nm之间的厚度,并且第二鳍衬层包括氮化硅并且具有在大约0.5nm与大约5nm之间的厚度。尽管可以通过诸如物理汽相沉积(PVD)、化学汽相沉积(CVD)或原子层沉积(ALD)的一个或多个工艺来沉积衬层,但是可以利用任何可接受的工艺。
隔离绝缘层30包括通过LPCVD(低压化学汽相沉积)、等离子体CVD或可流动CVD形成的一个或多个绝缘材料层,例如二氧化硅、氮氧化硅和/或氮化硅。在可流动CVD中,沉积可流动介电材料而不是氧化硅。正如它们的名字所表明的,可流动介电材料在沉积期间可以“流动”以填充具有高纵横比的间隙或间隔。通常,将各种化学物质添加至含硅前体以允许沉积的膜流动。在一些实施例中,添加氮氢键。可流动介电前体(特别是可流动氧化硅前体)的实例包括硅酸盐、硅氧烷、甲基倍半硅氧烷(MSQ)、氢倍半硅氧烷(HSQ)、MSQ/HSQ、全氢硅氮烷(TCPS)、全氢-聚硅氮烷(PSZ)、正硅酸乙酯(TEOS)或甲硅烷基胺(诸如三甲硅烷基胺(TSA))。在多重操作工艺中形成这些可流动氧化硅材料。在沉积可流动膜之后,将其固化然后退火以去除不需要的元素从而形成氧化硅。当去除不需要的元素时,可流动膜变致密并且收缩。在一些实施例中,进行多重退火工艺。固化可流动膜,并且对其进行不止一次的退火。可流动膜可以掺杂有硼和/或磷。在一些实施例中,隔离绝缘层30可以由以下材料的一层或多层形成:SOG、SiO、SiON、SiOCN或掺杂氟的硅酸盐玻璃(FSG)。
在鳍结构20上方形成隔离绝缘层30之后,实施平坦化操作以去除隔离绝缘层30的部分和用于图案化鳍结构的掩模层(例如,垫氧层和氮化硅掩模层)。平坦化操作可包括化学机械抛光(CMP)和/或回蚀刻工艺。接下来,使用例如蚀刻工艺、化学机械抛光(CMP)等去除隔离绝缘层30的在鳍结构20的顶面上方延伸的部分以及衬层的在鳍结构20的顶面上方的部分。此外,隔离绝缘层30被凹进以暴露出鳍结构20的上部。在一些实施例中,使用单次蚀刻工艺或多次蚀刻工艺来凹进隔离绝缘层30。在其中隔离绝缘层30由氧化硅制成的一些实施例中,蚀刻工艺可以是例如干蚀刻、化学蚀刻或湿清洗工艺。在特定实施例中,可以使用湿蚀刻工艺(例如,通过将衬底浸在氢氟酸(HF)中)实施部分地去除隔离绝缘层30。在另一实施例中,可以使用干蚀刻工艺执行部分地去除隔离绝缘层30。例如,可使用采用CHF3或BF3作为蚀刻气体的干蚀刻工艺。
在形成隔离绝缘层30之后,可实施例如退火工艺的热工艺以提高隔离绝缘层30的品质。在特定实施例中,通过在诸如N2、Ar或He环境的惰性气体环境中、在约900℃至约1050℃的范围内的温度下使用快速热退火(RTA)实施热工艺约1.5秒至约10秒。
如图1所示,鳍结构20在X方向上延伸并且以相等的间距P1在Y方向上布置。在一些实施例中,在该阶段,鳍结构20的宽度W1在约5nm至约40nm的范围内。在特定实施例中,鳍结构20的宽度W1在约7nm至约15nm的范围内。在一个实施例中,在该阶段,鳍结构20从隔离绝缘层30的上表面处测得的高度H1在约50nm至约300nm的范围内。在特定实施例中,鳍结构20的高度H1在约50nm至约100nm的范围内。在一些实施例中,鳍结构20的节距P1在约10nm至约90nm的范围内。在特定实施例中,鳍结构20的宽度在约14nm至约45nm的范围内。在一些实施例中,鳍结构20之间的间隔在约5nm至约80nm的范围内,并且在其他实施例中,可以在约7nm至约15nm的范围内。
在形成鳍结构20和隔离绝缘层30之后,在随后用作沟道层的暴露的鳍结构120上方形成包括伪栅极介电层41和伪栅电极层45的伪栅极结构40,如图2A和2B所示。图2A是立体图并且图2B是对应于图2A的沿Y方向的线a-a的横截面图。
伪栅极介电层41和伪栅电极层45将随后用于限定并形成源极/漏极区域。在一些实施例中,伪栅极介电层41和伪栅电极层45通过沉积和图案化在暴露的鳍结构20上方形成的伪介电层和在伪栅极介电层上方的伪电极层而形成。伪介电层41可以通过热氧化、CVD、溅射、或者任何其他在本领域已知和使用的用于形成伪介电层的方法来形成。在一些实施例中,伪介电层41可以由一种或多种合适的介电材料制成,诸如氧化硅、氮化硅、SiCN、SiON、SiN、低k电介质(诸如碳掺杂的氧化物)、极低k电介质(诸如掺杂多孔碳的二氧化硅)、聚合物(诸如聚酰亚胺)等、或它们的组合。在一个实施例中,使用SiO2
接下来,在伪栅极介电层上方形成伪栅电极层45。在一些实施例中,伪栅电极层是导电材料并且选自包括非晶硅、多晶硅、非晶锗、多晶锗、非晶硅锗、多晶硅锗、金属氮化物、金属硅化物、金属氧化物和金属的组中。伪电极层可以通过PVD、CVD、溅射沉积、或者本领域已知和使用的用于沉积导电材料的其他技术来沉积。可以使用其它材料、导电和非导电的。在一个实施例中,使用多晶硅。
可以在伪栅电极层45上方形成掩模图案以辅助图案化。掩模图案包括第一掩模层和在第一掩模层上设置的第二掩模层。掩模图案包括SiO2、SiCN、SiON、氧化铝、氮化硅或其他合适的材料的一层或多层。在一些实施例中,第一掩模层包括氮化硅或SiON并且第二掩模层包括氧化硅。通过使用掩模图案作为蚀刻掩模,伪电极层被图案化为伪栅电极45。在一些实施例中,伪介电层也被图案化以限定伪栅极介电层。鳍结构20沿X方向延伸并且伪栅极结构40在基本垂直于X方向的Y方向上延伸。在图2A和图2B中,示出一个伪栅极结构。但是,伪栅极结构的数量不限于一个。
另外,如图2A所示,在伪栅极结构40的相对两个侧壁上形成侧壁间隔件47。侧壁间隔件47包括一个或多个介电层。在一个实施例中,侧壁间隔件47由氧化硅、氮化硅、SiOCN、SiCN、氧化铝、AlCO、AlCN、以及任何其他合适的介电材料中的一种或多种制成。侧壁绝缘材料的毯式层可以通过CVD、PVD、ALD、或其它合适的技术形成。然后,对侧壁绝缘材料执行各向异性蚀刻以在栅极结构的两个主侧上形成一对侧壁绝缘层(间隔件)47。在一些实施例中,侧壁绝缘层47的厚度在大约5nm至大约30nm的范围内,并且在其他的实施例中,该厚度在大约10nm至大约20nm的范围内。
接下来,鳍结构20的源极/漏极区域向下凹进至隔离绝缘层30的上表面下方。然后,如图3所示,在鳍结构20的凹陷的源极/漏极区域上方形成源极/漏极外延层60。在一些实施例中,源极/漏极外延层60是合并的外延层,如图3所示。在其他实施例中,在凹陷的鳍结构20上方独立地形成源极/漏极外延层60,而不合并相邻的源极/漏极外延层。
用于源极/漏极外延层60的材料可以针对n型FinFET和p型FinFET而变化,使得一种类型的材料用于n型FinFET以在沟道区域中施加拉伸应力和用于p型FinFET的另一种类型的材料施加压缩应力。例如,可以使用SiP或SiC来形成n型FinFET,并且可以使用SiGe或Ge来形成p型FinFET。在一些实施例中,硼(B)掺杂在p型FinFET的源极/漏极外延层中。其他材料也可以使用。在一些实施例中,源极/漏极外延层60包括具有不同成分和/或不同掺杂剂浓度的两个或更多个外延层。源极/漏极外延层60可以通过CVD、ALD、分子束外延(MBE)或任何其他合适的方法形成。
在形成源极/漏极外延层60之后,形成层间介电(ILD)层50。在一些实施例中,在形成ILD层之前,在源极/漏极外延层60和侧壁间隔件47上方形成蚀刻停止层(ESL)。ESL由氮化硅或氮化硅基材料(例如,SiON、SiCN、或SiOCN)制成。用于ILD层50的材料可包括含有Si、O、C和/或H的化合物,诸如氧化硅、SiCOH和SiOC。诸如聚合物的有机材料可用于ILD层50。
在形成ILD层50之后,执行诸如回蚀刻工艺和/或化学机械抛光(CMP)工艺的平坦化操作以暴露出伪栅电极层45的上表面,如图4A和图4B所示。图4A是沿着X方向的横截面图,并且图4B是沿着Y方向的横截面图。在图4A至图8B和图11中,为了简化,仅示出两个鳍结构20。
然后,如图5A和图5B所示,去除伪栅电极层45,由此形成栅极空间49。当伪栅电极层45是多晶硅且ILD层50是氧化硅时,诸如四甲基氢氧化铵(TMAH)溶液的湿蚀刻剂可以用于选择性地去除伪栅电极层。此外,之后使用等离子体干蚀刻和/或湿蚀刻来去除伪栅极介电层41,由此暴露出鳍结构20的上部,如图6A和图6B所示。
在鳍结构20的上部被暴露出之后,在栅极间隔49中,在暴露出的鳍结构(沟道层)20上形成包括界面层61和高k栅极介电层62的栅极介电层60,如图7A和图7B所示。在一些实施例中,界面层61是化学形成的氧化硅。化学氧化硅可以使用去离子水+臭氧(DIO3)、NH4OH+H2O2+H2O(APM)或其他方法形成。高k栅极介电层62包括HfO2、HfSiO、HfSiON、HfTaO、HfTiO、HfZrO、氧化锆、氧化铝、氧化钛、氧化铪-氧化铝(HfO2-Al2O3)合金、其他合适的高k介电材料中的一层或多层。可以通过CVD、ALD或任何其他合适的方法形成栅极介电层60。在一个实施例中,使用诸如ALD的高度共形沉积工艺形成栅极介电层60从而确保在每个沟道层周围均能形成具有均匀厚度的栅极介电层。在一个实施例中,栅极介电层60的厚度在大约1nm至大约6nm的范围内。
另外,在栅极介电层60上方形成作为阻挡层66的导电层。阻挡层66包括TaN、TiN、掺杂有Si的TiN或任何其他合适的导电材料的一层或多层。在一些实施例中,在形成阻挡层66之后,执行退火操作(例如,在约700℃至900℃的温度下)。在一些实施例中,阻挡层66的厚度在约0.5nm至约5nm的范围内。
如图7A和图7B所示,在一些实施例中,在阻挡层66上进一步形成覆盖层67。在一些实施例中,覆盖层67由非晶材料制成,诸如非晶Si、非晶Ge或任何其他合适的材料。在一些实施例中,覆盖层67的厚度在约0.5nm至约5nm的范围内。
在形成覆盖层67之后,执行离子注入操作以将掺杂剂引入至沟道层中以改善FinFET的电特性。在特定实施例中,使用氟(F)作为掺杂剂。在本公开中,执行多角度离子注入操作100。注入的氟可以减少在沟道(例如,Si)与界面层(例如,氧化物)之间的界面中存在的缺陷。
对诸如鳍结构的高纵横比结构的离子注入操作面临诸如阴影效应的一些问题。由于阴影效应,离子可能不会均匀地注入至鳍结构中。在本公开中,为了解决这个问题,采用了多角度注入工艺。通过使用相对于垂直于衬底表面的法线方向(Z方向)较小的倾斜角,可以将离子注入至鳍结构的顶部和谷底(valley)中,同时通过使用相对于法线方向更大的倾斜角,可以将离子注入至鳍结构的侧面中。
图9示出说明多角度离子注入的示意图。在多角度离子注入中,以相对于法线方向ND的角度θ0执行一次注入操作(主要注入),其中,θ0=tan-1((P1-W2)/H2)×180/π(度)。P1为鳍结构20的节距,W2为在制造工艺的该阶段的鳍结构20的宽度,以及H2为在制造工艺的该阶段的鳍结构20在隔离绝缘层30之上的高度。尺寸(P1-W2)意味着相邻鳍结构之间的间距。鳍结构20的宽度W2和高度H2包括栅极介电层60、阻挡层66和覆盖层67的厚度,并且鳍结构20的高度H2从覆盖绝缘层67的上表面处测得,如图8A和图8B所示。在图9中,为了简单,未示出栅极介电层60、阻挡层66和覆盖层67。在其他实施例中,可以在不考虑栅极介电层60、阻挡层66和覆盖层67的情况下限定宽度W2和高度H2。
倾斜离子注入的角度θ被限定为由衬底的主表面的法线方向ND(Z方向)与Z方向和Y方向形成的ZY平面中的离子束方向所形成的锐角(小角度)。如果角度为零,则离子束的方向基本平行于衬底的法线方向。
主要离子注入101以角度θ0被执行两次以将离子注入至鳍结构20的一个侧面(例如,图9中的右侧)和鳍结构20的另一侧面(例如,图9中的左侧),例如,通过使衬底(晶圆)围绕其中心轴旋转180度。在其他实施例中,以角度+θ0和-θ0执行两次主要离子注入,而不旋转晶圆。因此,对鳍结构的一个侧面执行多个倾斜角度的离子注入。在一些实施例中,θ0不一定是从上述公式计算的,而是被设置在5度到10度的范围内。
当鳍结构仅沿一个方向(例如,X方向)延伸时,不执行具有90和270晶圆旋转的倾斜角度注入。当鳍结构沿两个方向(例如,X方向和Y方向)延伸时,可执行具有90和270晶圆旋转的倾斜角注入。
如图9所示,以倾斜角θ1=θ0+α进行第一附加离子注入102,其中,α在1.5度至3.0度的范围内。在一些实施例中,α=2度。与主要离子注入类似,通过使衬底(晶圆)旋转180度来以角度θ1两次执行第一次离子注入。在其他实施例中,以角度+θ1和-θ1执行两次第一附加离子注入。
此外,在一些实施例中,以θ2=θ0-α的倾斜角度执行第二附加离子注入103,其中,α在1.5度至3.0度的范围内。在一些实施例中,α=2度。与主要离子注入类似,通过使衬底(晶圆)旋转180度来以角度θ2执行第二离子注入。在其他实施例中,以角度+θ2和-θ2执行两次第二附加离子注入。
在一些实施例中,除了具有θ0±α的倾斜角度的注入之外,执行具有θ4=θ0+2α和θ5=θ0-2α的倾斜角度的离子注入操作。在特定实施例中,执行具有θ6=θ0+3α和θ5=θ7-3α的倾斜角的离子注入操作。可以通过相对于离子束方向倾斜置放衬底(晶圆)的平台来改变角度。在一些实施例中,倾斜角中的一个是零。
图10A至图10D显示根据本实施例的多角度离子注入工艺的效果中的一个。在图10A所示的倾斜角度注入201中,将倾斜角度设定为多角度离子注入中最小的倾斜角度的θ11(±θ11)。通过该注入,可以将离子注入至鳍结构20的大部分或全部侧面和上部区域中。尺寸L11是注入离子的侧面区域的长度,尺寸W11是侧面区域的注入区域的深度,以及尺寸T11是在鳍结构20的顶部的注入区域的深度。
在图10B所示的倾斜角度注入202中,在多角度离子注入中将倾斜角度设定为大于θ11的θ12(±θ12)。通过该注入,由于鳍结构的阴影效应,离子不会被注入至鳍结构20的底部区域中。作为被注入离子的侧面区域的长度的尺寸L12比L11小,作为侧面区域的注入区域的深度的尺寸W12比W11大,以及作为鳍结构的顶部处的注入区域的深度的尺寸T12大于T11。
在图10C所示的倾斜角度注入203中,在多角度离子注入中将倾斜角度设定为大于θ12的θ13(±θ13)。通过该注入,由于鳍结构的阴影效应,离子不会被注入至鳍结构20的底部区域中。作为被注入离子的侧面区域的长度的尺寸L13比L12小,作为侧面区域的注入区域的深度的尺寸W13比W12大,以及作为鳍结构的顶部处的注入区域的深度的尺寸T13比T12大。
此外,在图10D所示的倾斜角度注入204中,在多角度离子注入中,将倾斜角度设定为大于θ13的θ14(±θ14),θ14可以是最大倾斜角度。通过该注入,由于鳍结构的阴影效应,离子不会被注入至鳍结构20的底部区域和中间区域中。作为注入离子的侧面区域的长度的尺寸L14比L13小,作为侧面区域的注入区域的深度的尺寸W14比W13大,以及作为鳍结构的顶部处的注入区域的深度的尺寸T14比T13大。
如图10A至图10D所示,当倾斜角度较小时,至鳍结构的顶部区域的剂量大于至鳍结构的侧面区域的剂量。相反,当倾斜角度变大时,至鳍结构的顶部区域的剂量减小而至鳍结构的侧面区域的剂量增加。通过组合两个或更多倾斜角,可以更均匀地将掺杂剂引入至鳍结构的顶面、底面和侧面中。
所需剂量(即,总剂量)可以通过每次离子注入来分配。在一些实施例中,对于每次注入均匀分配剂量。在其它实施例中,针对多次离子注入中的每次设定不同剂量。在给定的倾角下,0度和180度晶圆旋转(倾角(±θ))的离子注入剂量是相同的。例如,如图10A至图10D所示,使用四个倾斜角度,在给定角度下的每次离子注入中的剂量约为总剂量的25%。在一些实施例中,随着倾斜角度变大,剂量被设定得更小。在其他实施例中,随着倾斜角度变大,剂量被设定得更大。
在一些实施例中,氟离子以约250eV至约350eV范围内的加速能量进行注入。氟的总剂量在一些实施例中在约1×1014cm-2至2×1015cm-2的范围内,并且在其他实施例中为约5×1014cm-2至1×1015cm-2的范围内。
在图10A至图10D中,利用了四个倾斜角(用于左侧面和右侧面的八个倾斜角度注入操作)。但是,倾斜角度的数量不限于四个。它可以小到两个,并且在一些实施例中可以大于四个到多达十个。
在前述实施例中,倾斜角度被离散地设定(例如,θa、θb、θc...)。在一些实施例中,角度之差是大约1.5度至3度,例如,大约2度。在其他实施例中,在整个离子注入操作期间,倾斜角度从最小倾斜角度到最大倾斜角度逐渐改变。
图11示出由次级离子质谱法(SIMS)测得的至鳍结构中的掺杂的氟量。在图11中,横轴表示鳍结构的高度(由高至低的位置)。如图11所示,当使用多角度注入时,与单角度注入相比,氟原子被更均匀地注入。多角度注入的均匀性((最高值-最低值)/最低值)约为21.5%,而单角度注入的均匀性约为29%。通过调整倾斜角度注入的次数,每次注入的角度和/或剂量,可以获得约20%至25%的均匀性。
图12A和图12B示出根据本公开的一个实施例的半导体器件制造工艺的各个阶段中的一个。
在执行多次离子注入之后,去除覆盖层67。然后,在阻挡层66上方形成一个或多个导电层,从而形成金属栅电极65。
在一些实施例中,在阻挡层66上方形成一个或多个功函调整层68,并且在功函调整层68上方形成主金属层69。功函调整层68由导电材料制成,诸如TiN、TaN、TaAlC、TiC、TaC、Co、Al、TiAl、HfTi、TiSi、TaSi或TiAlC的单层或者这些材料的两种或多种的多层。对于n沟道FinFET,TaN、TaAlC、TiN、TiC、Co、TiAl、HfTi、TiSi和TaSi中的一种或多种用作功函调整层,而对于p沟道FinFET,TiAlC、Al、TiAl、TaN、TaAlC、TiN、TiC和Co中的一种或多种用作功函调整层。
在一些实施例中,主金属层69包括选自由W、Cu、Ti、Ag、Al、TiAl、TiAlN、TaC、TaCN、TaSiN、Mn、Co、Pd、Ni、Re、Ir、Ru、Pt、和Zr组成的组中的金属材料。在一些实施例中,主金属层69包括选自由TiN、WN、TaN、和Ru组成的组中的金属。可以使用诸如Ti-Al、Ru-Ta、Ru-Zr、Pt-Ti、Co-Ni和Ni-Ta的金属合金和/或可以使用诸如WNx、TiNx、MoNx、TaNx和TaSixNy的金属氮化物。可使用诸如原子层沉积(ALD)、化学汽相沉积(CVD)、物理汽相沉积(PVD)、镀敷或它们的组合的合适工艺来形成功函调整层和主金属层。
在形成栅电极65之后,执行进一步的CMOS工艺以形成各种部件,诸如附加的层间介电层、接触件/通孔、互连金属层以及和钝化层等。对n型FinFET和p型FinFET都可以应用上述多角度离子注入操作。
通过使用上述制造操作,制造了具有三个CMOS反相器的环形振荡器。与采用单角度离子注入制造的环形振荡器相比,采用多角度离子注入制造的环形振荡器的电性能呈现提高了约3%。
此外,可以将多角度注入应用于一个或多个制造阶段以将掺杂剂注入至鳍结构中。例如,可以在形成如图1所示的鳍结构之后对鳍结构进行多角度离子注入。在这种情况下,掺杂剂可以是选自由P、As和BF2组成的组中的至少一种。
应该理解,本文不必讨论所有优点,没有特定优势是所有实施例或实例都必需的,并且其他实施例或实例可提供不同优点。
根据本公开的一方面,在用于制造半导体器件的方法中,在衬底上方形成鳍结构,并且在鳍结构上执行离子注入操作。使用不同的注入角度多次执行离子注入操作以将离子引入至鳍结构中的每个的一个侧面中。在上述或以下实施例中的一个或多个中,鳍结构沿X方向延伸,并且不同注入角度中的每个的角度θ被定义为由衬底的主表面的是Z方向的法线方向以及由Z方向和Y方向形成的ZY平面中的离子束方向形成的锐角,其中,Y方向垂直于Z方向和X方向。在前述或以下实施例中的一个或多个中,通过离子注入操作将氟离子注入至鳍结构中。在前述或以下实施例中的一个或多个中,通过离子注入操作将选自由P、As和BF2组成的组中的至少一种元素的离子注入至鳍结构中。在前述或以下实施例中的一个或多个中,使用三至六个不同的注入角度来执行离子注入操作。在前述或以下实施例中的一个或多个中,离子的剂量随着注入角度增加而减小。在前述或以下实施例中的一个或多个中,离子的剂量对于每次注入操作是恒定的。在前述或以下实施例中的一个或多个中,离子的剂量在一个注入操作和另一个注入操作之间是不同的。
根据本公开的另一方面,在制造半导体器件的方法中,形成每个均具有上部和下部的鳍结构。下部嵌入在设置在衬底上方的隔离绝缘层中,并且上部从隔离绝缘层突出。在鳍结构中的每个的上部上方形成栅极介电层。在栅极介电层上方形成导电层。在导电层上方形成覆盖层。利用覆盖层对鳍结构进行离子注入操作。使用不同的注入角度多次执行离子注入操作以将离子引入至鳍结构中的每个的一个侧面中。在上述或以下实施例中的一个或多个中,鳍结构沿X方向延伸,并且不同注入角度中的每个的角度θ被定义为由衬底的主表面的是Z方向的法线方向以及由Z方向和Y方向形成的ZY平面中的离子束方向形成的锐角,其中,Y方向垂直于Z方向和X方向。在前述或以下实施例中的一个或多个中,通过离子注入操作将氟离子注入至鳍结构中。在前述或以下实施例中的一个或多个中,覆盖层包括非晶硅。在前述或以下实施例中的一个或多个中,导电层包括TiN。在前述或以下实施例中的一个或多个中,在形成导电层之后并且在形成覆盖层之前,执行退火操作。在前述或以下实施例中的一个或多个中,在注入操作之后去除覆盖层。
根据本公开的另一方面,在制造半导体器件的方法中,去除伪栅极结构,由此暴露出第一鳍结构和第二鳍结构。第一鳍结构和第二鳍结构中的每个均具有上部和下部。下部嵌入在设置在衬底上方的隔离绝缘层中,并且上部从隔离绝缘层突出。第一鳍结构和第二鳍结构在X方向上延伸。在第一鳍结构和第二鳍结构中的每个的鳍结构的上部上方形成栅极介电层。在第一鳍结构和第二鳍结构中的每个的栅极介电层上方形成导电层。在第一鳍结构和第二鳍结构的每个的导电层上方形成覆盖层。利用覆盖层对第一鳍结构和第二鳍结构进行离子注入操作。使用不同的注入角度多次执行离子注入操作以将离子引入至第一鳍结构和第二鳍结构中的每个的一侧面中。在上述或以下实施例中的一个或多个中,相对于衬底的法线方向以角度θ0执行离子注入操作中的至少一个,其中θ0=tan-1(L-W/h),L是第一鳍结构与第二鳍结构的间距,W是第一鳍结构与第二鳍结构的宽度,以及h是从隔离绝缘体的上表面的第一鳍结构与第二鳍结构的高度。在上述或以下实施例中的一个或多个中,不同注入角度中的一个是角度θ,并且通过将衬底旋转180度来两次执行以角度θ的离子注入操作。在前述或以下实施例中的一个或多个中,两个注入角度之间的差值为1.5度至3.0度。在前述或以下实施例中的一个或多个中,通过离子注入操作将氟离子注入至鳍结构中。
上面论述了若干实施例的部件,以便本领域技术人员可以更好地理解本发明的各个方面。本领域普通技术人员应该理解,可以很容易地使用本发明作为基础来设计或更改其他用于达到与这里所介绍实施例相同的目的和/或实现相同优点的处理和结构。本领域技术人员也应该意识到,这种等同构造并不背离本发明的精神和范围,并且在不背离本发明的精神和范围的情况下,本文中他们可以做出多种变化、替换以及改变。

Claims (10)

1.一种制造半导体器件的方法,包括:
在衬底上方形成鳍结构;以及
对所述鳍结构执行离子注入操作,
其中,使用不同的注入角度多次执行所述离子注入操作以将离子引入至所述鳍结构中的每个的一个侧面中。
2.根据权利要求1所述的方法,其中:
所述鳍结构在X方向上延伸,以及
所述不同的注入角度中的每个的角度θ被定义为由法线方向和Z方向和Y方向形成的ZY平面中的离子束方向形成的锐角,其中,所述法线方向是衬底的主表面的所述Z方向,所述Y方向垂直于所述Z方向和所述X方向。
3.根据权利要求2所述的方法,其中,通过所述离子注入操作将氟离子注入至所述鳍结构中。
4.根据权利要求2所述的方法,其中,通过所述离子注入操作将选自由P、As和BF2组成的组中的至少一种元素的离子注入至所述鳍结构中。
5.根据权利要求2所述的方法,其中,使用三至六个不同的注入角度来执行所述离子注入操作。
6.一种制造半导体器件的方法,包括:
形成各自具有上部和下部的鳍结构,所述下部嵌入在设置在衬底上方的隔离绝缘层内并且所述上部从所述隔离绝缘层突出;
在所述鳍结构的每个中的所述上部上方形成栅极介电层;
在所述栅极介电层上方形成导电层;
在所述导电层上方形成覆盖层;以及
利用所述覆盖层对所述鳍结构进行离子注入操作,
其中,使用不同的注入角度多次执行所述离子注入操作以将离子引入至所述鳍结构中的每个的一个侧面中。
7.根据权利要求6所述的方法,其中:
所述鳍结构在X方向上延伸,以及
所述不同的注入角度中的每个的角度θ被定义为由法线方向和由Z方向和Y方向形成的ZY平面中的离子束方向形成的锐角,其中,所述法线方向是衬底的主表面的所述Z方向,所述Y方向垂直于所述Z方向和所述X方向。
8.根据权利要求7所述的方法,其中,通过所述离子注入操作将氟离子注入至所述鳍结构中。
9.一种制造半导体器件的方法,包括:
去除伪栅极结构,从而暴露出第一鳍结构和第二鳍结构,每个鳍结构均具有上部和下部,所述下部嵌入于设置在衬底上方的隔离绝缘层内并且所述上部从所述隔离绝缘层处突出,所述第一鳍结构沿X方向延伸;
在所述第一鳍结构和所述第二鳍结构中的每个鳍结构的所述上部上方形成栅极介电层;
在所述第一鳍结构和所述第二鳍结构中的每个鳍结构的所述栅极介电层上方形成导电层;
在所述第一鳍结构和所述第二鳍结构中的每个鳍结构的所述导电层上方形成覆盖层;以及
利用所述覆盖层对所述第一鳍结构和所述第二鳍结构进行离子注入操作,其中:
使用不同的注入角度多次执行所述离子注入操作以将离子引入至所述第一鳍结构和所述第二鳍结构中的每个鳍结构的一个侧面中。
10.根据权利要求9所述的方法,其中,所述离子注入操作中的至少一次以相对于所述衬底的法线方向的角度θ0进行,其中
θ0=tan-1(L-W/h),
L是所述第一鳍结构和所述第二鳍结构的节距,W是所述第一鳍结构和所述第二鳍结构的宽度,以及h是始于所述隔离绝缘层的上表面的所述第一鳍结构和所述第二鳍结构的高度。
CN201711351426.1A 2017-06-30 2017-12-15 半导体器件及其制造方法 Active CN109216458B (zh)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201762527783P 2017-06-30 2017-06-30
US62/527,783 2017-06-30
US15/801,128 2017-11-01
US15/801,128 US10714598B2 (en) 2017-06-30 2017-11-01 Method of manufacturing semiconductor device

Publications (2)

Publication Number Publication Date
CN109216458A true CN109216458A (zh) 2019-01-15
CN109216458B CN109216458B (zh) 2021-10-22

Family

ID=64739094

Family Applications (1)

Application Number Title Priority Date Filing Date
CN201711351426.1A Active CN109216458B (zh) 2017-06-30 2017-12-15 半导体器件及其制造方法

Country Status (4)

Country Link
US (3) US10714598B2 (zh)
KR (1) KR102107610B1 (zh)
CN (1) CN109216458B (zh)
TW (1) TWI693634B (zh)

Families Citing this family (10)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US11388240B2 (en) * 2017-06-28 2022-07-12 Commscope Technologies Llc Systems and methods for managed connectivity wall outlets using low energy wireless communication
US10714598B2 (en) 2017-06-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device
US10163657B1 (en) * 2017-08-25 2018-12-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
CN111656527B (zh) * 2018-01-31 2022-01-25 Hrl实验有限公司 制造电隔离金刚石纳米线和应用
US11450571B2 (en) * 2018-09-27 2022-09-20 Taiwan Semiconductor Manufacturing Company Ltd. Method for manufacturing semiconductor structure
TWI704674B (zh) * 2019-09-04 2020-09-11 華邦電子股份有限公司 半導體元件及其製造方法
US11488858B2 (en) * 2019-10-29 2022-11-01 Taiwan Semiconductor Manufacturing Company, Ltd. Methods for forming stacked layers and devices formed thereof
DE102020114846A1 (de) 2019-10-29 2021-04-29 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zum bilden von gestapelten schichten und daraus gebildete bauteile
US11367787B2 (en) 2019-11-12 2022-06-21 Winbond Electronics Corp. Semiconductor device and manufacturing method thereof
US11380548B2 (en) * 2019-12-30 2022-07-05 Taiwan Semiconductor Manufacturing Company Ltd. Method of manufacturing semiconductor structure through multi-implantation to fin structures

Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040217433A1 (en) * 2003-04-29 2004-11-04 Yee-Chia Yeo Doping of semiconductor fin devices
CN1846309A (zh) * 2003-07-01 2006-10-11 国际商业机器公司 具有平行互补鳍片场效应晶体管对的集成电路
TW201413795A (zh) * 2012-08-22 2014-04-01 Advanced Ion Beam Tech Inc 摻雜非平面半導體裝置
US20150187915A1 (en) * 2013-12-26 2015-07-02 Samsung Electronics Co., Ltd. Method for fabricating fin type transistor
CN106252232A (zh) * 2015-06-05 2016-12-21 台湾积体电路制造股份有限公司 掩埋沟道半导体器件及其制造方法

Family Cites Families (11)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7332439B2 (en) * 2004-09-29 2008-02-19 Intel Corporation Metal gate transistors with epitaxial source and drain regions
US7348576B2 (en) 2005-03-16 2008-03-25 Varian Semiconductor Equipment Associates, Inc. Technique for ion beam angle process control
US8258035B2 (en) * 2007-05-04 2012-09-04 Freescale Semiconductor, Inc. Method to improve source/drain parasitics in vertical devices
US8022487B2 (en) * 2008-04-29 2011-09-20 Intel Corporation Increasing body dopant uniformity in multi-gate transistor devices
US8445340B2 (en) 2009-11-19 2013-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Sacrificial offset protection film for a FinFET device
TWI523084B (zh) * 2014-11-11 2016-02-21 漢辰科技股份有限公司 離子佈植法
US9620407B2 (en) * 2014-12-08 2017-04-11 Applied Materials, Inc. 3D material modification for advanced processing
CN105810729B (zh) 2014-12-29 2018-09-11 中国科学院微电子研究所 鳍式场效应晶体管及其制造方法
KR20160112778A (ko) 2015-03-20 2016-09-28 삼성전자주식회사 핀 액티브 영역들을 갖는 반도체
US9748364B2 (en) 2015-04-21 2017-08-29 Varian Semiconductor Equipment Associates, Inc. Method for fabricating three dimensional device
US10714598B2 (en) * 2017-06-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor device

Patent Citations (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20040217433A1 (en) * 2003-04-29 2004-11-04 Yee-Chia Yeo Doping of semiconductor fin devices
CN1846309A (zh) * 2003-07-01 2006-10-11 国际商业机器公司 具有平行互补鳍片场效应晶体管对的集成电路
TW201413795A (zh) * 2012-08-22 2014-04-01 Advanced Ion Beam Tech Inc 摻雜非平面半導體裝置
US20150187915A1 (en) * 2013-12-26 2015-07-02 Samsung Electronics Co., Ltd. Method for fabricating fin type transistor
CN106252232A (zh) * 2015-06-05 2016-12-21 台湾积体电路制造股份有限公司 掩埋沟道半导体器件及其制造方法

Also Published As

Publication number Publication date
US11677012B2 (en) 2023-06-13
US20210313456A1 (en) 2021-10-07
CN109216458B (zh) 2021-10-22
KR102107610B1 (ko) 2020-05-08
US10714598B2 (en) 2020-07-14
KR20190003313A (ko) 2019-01-09
US20190006492A1 (en) 2019-01-03
US20200203507A1 (en) 2020-06-25
TW201905979A (zh) 2019-02-01
US11043580B2 (en) 2021-06-22
TWI693634B (zh) 2020-05-11

Similar Documents

Publication Publication Date Title
US20230187447A1 (en) Enhanced channel strain to reduce contact resistance in nmos fet devices
US10535557B2 (en) Interlayer dielectric film in semiconductor devices
KR102063235B1 (ko) 반도체 디바이스용 콘택 구조체
US11677012B2 (en) Method of manufacturing semiconductor devices
CN108122960B (zh) 半导体装置
US9997631B2 (en) Methods for reducing contact resistance in semiconductors manufacturing process
CN103578954B (zh) 具有金属栅极的半导体集成电路
TW201729340A (zh) 多重閘極裝置
CN109216459A (zh) 用于制造半导体器件的方法
US11830928B2 (en) Inner spacer formation in multi-gate transistors
US11075269B2 (en) Semiconductor device and manufacturing method thereof
WO2014082336A1 (zh) 半导体器件及其制造方法
TWI681444B (zh) 半導體裝置及其製造方法
KR20160092894A (ko) 핀 구조물을 포함하는 반도체 디바이스 및 이의 제조 방법
US9934975B2 (en) N-type MOSFET and method for manufacturing the same
US10056261B2 (en) P type MOSFET
TWI790157B (zh) 接點插塞

Legal Events

Date Code Title Description
PB01 Publication
PB01 Publication
SE01 Entry into force of request for substantive examination
SE01 Entry into force of request for substantive examination
GR01 Patent grant
GR01 Patent grant