TWI575739B - 半導體裝置及其形成方法 - Google Patents

半導體裝置及其形成方法 Download PDF

Info

Publication number
TWI575739B
TWI575739B TW104118367A TW104118367A TWI575739B TW I575739 B TWI575739 B TW I575739B TW 104118367 A TW104118367 A TW 104118367A TW 104118367 A TW104118367 A TW 104118367A TW I575739 B TWI575739 B TW I575739B
Authority
TW
Taiwan
Prior art keywords
source
dielectric
region
semiconductor
layer
Prior art date
Application number
TW104118367A
Other languages
English (en)
Other versions
TW201601311A (zh
Inventor
江國誠
蔡慶威
劉繼文
王志豪
梁英強
Original Assignee
台灣積體電路製造股份有限公司
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from US14/317,069 external-priority patent/US9881993B2/en
Application filed by 台灣積體電路製造股份有限公司 filed Critical 台灣積體電路製造股份有限公司
Publication of TW201601311A publication Critical patent/TW201601311A/zh
Application granted granted Critical
Publication of TWI575739B publication Critical patent/TWI575739B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41708Emitter or collector electrodes for bipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide

Description

半導體裝置及其形成方法
本發明是關於一種半導體裝置及其製造方法,特別是有關於一種具有包覆矽化物的鰭式場效電晶體和其製作方法。
隨著積體電路(Integrated Circuit,IC)在材料和設計上技術之進步,產生了幾代的積體電路(ICs),其中每一代具有比上一代更小更複雜的電路。在積體電路演進過程中,當其幾何尺寸縮小時,其功能密度(例如:每一晶片區域的互連裝置數量)普遍的增加。這種按比例縮小的過程,一般透過提高生產效益和降低相關成本,以提供其效益。
比例縮小亦會增加積體電路在加工和製造上的複雜度。為了實現這些進展,在積體電路的加工和製造上需要類似的發展,例如,引入鰭式場效電晶體(Fin Field-Effect Transistor,FinFET),以取代平面的電晶體。鰭式場效電晶體的結構與其製造方法正在發展中。
根據本發明一些實施方式,一半導體裝置包含:複數個隔離區域,延伸進入一半導體基板,在這些隔離區域的複數個相對部份之間有一具有一第一寬度的基板條;一源極/汲極區域,具有一重疊基板條的部分,其中源極/汲極區域的一上部具有一大於第一寬度的第二寬度,以及源極/汲極區域的上部具有實質上垂直的複數個側壁;以及一源極/汲極矽化區域,具有複數個內部側壁接觸源極/汲極區域的這些垂直的側壁。
根據本發明一些替代實施方式,一半導體裝置包含:複數個淺溝槽隔離區域;一半導體條,在這些淺溝槽隔離區域的相對部份之間;一氧化區域,重疊半導體條;以及一源極/汲極區域,重疊氧化區域,源極/汲極區域包含:一下部,其中半導體條的各複數個邊緣、氧化區域以及源極/汲極區域實質上對齊排列;以及一上部,在下部之上,其中上部包含實質上垂直的複數個側壁,以及上部側向延伸越過下部的各這些邊緣。
根據本發明另一些替代實施方式,一種形成半導體裝置的方法,包含:形成一半導體鰭片,突出複數個隔離區域的複數個上表面;形成一閘極疊層,覆蓋半導體鰭片的一中部,半導體鰭片的一末端部沒有被閘極疊層覆蓋;形成複數個介電模板在半導體鰭片的末端部的異側;蝕刻半導體鰭片的末端部,以在這些介電模板之間形成一凹槽;從凹槽長出一源極/汲極區域,其中源極/汲極區域在凹槽中包含一第一部分以及一第二部分在這些介電模板之上,第二部分 比第一部分更寬;以及修整第二部分以減少第二部分的一寬度。
20‧‧‧基板
21‧‧‧反穿透區域、反穿透層、反穿透層區域
22‧‧‧矽鍺層、矽鍺條、半導體層
24‧‧‧半導體疊層、半導體條、半導體鰭片
26‧‧‧半導體層、矽條、矽層、半導體條
28‧‧‧半導體層、矽鍺層、矽鍺條、半導體條
30‧‧‧硬遮罩
32‧‧‧溝渠
34‧‧‧半導體條
36‧‧‧氧化矽層
38‧‧‧矽鍺氧化區域
40‧‧‧矽鍺氧化區域
42‧‧‧淺溝渠隔離區域
42A‧‧‧上表面
44‧‧‧虛擬氧化層、虛擬閘極氧化物
46‧‧‧虛擬閘極疊層、虛擬閘極
48‧‧‧虛擬閘極電極、多晶矽層
50‧‧‧硬遮罩層
50A‧‧‧氮化矽層
50B‧‧‧氧化矽層
54‧‧‧閘極間隙壁
56‧‧‧凹槽
58‧‧‧源極/汲極區域、磊晶區域
58’‧‧‧小平面
58”‧‧‧小平面
58A、58B、58C、58D‧‧‧部份
60‧‧‧層間介電質
62‧‧‧凹槽
64‧‧‧間隙
66‧‧‧氧化矽環
70‧‧‧閘極介電質
72‧‧‧閘極電極
74‧‧‧鰭式場效電晶體
76‧‧‧氧化矽
78‧‧‧高k介電質
100‧‧‧核心區域
122‧‧‧蝕刻終止層
124‧‧‧半導體層
126‧‧‧介電層
128‧‧‧介電區域
130‧‧‧介電層
132‧‧‧介電層、介電模板、閘極間隙壁
136‧‧‧凹槽
138‧‧‧間隙
140‧‧‧閘極間隙壁
144‧‧‧矽化區域
146‧‧‧接觸插塞
148‧‧‧金屬
200‧‧‧IO區域
300‧‧‧步驟流程
302-328‧‧‧步驟
400‧‧‧步驟流程
402-426‧‧‧步驟
H1‧‧‧高度
△H‧‧‧高度差
T1-T5‧‧‧厚度
W1、W2‧‧‧寬度
16B/16C-16B/16‧‧‧剖線
21C/21D-21C/21D‧‧‧剖線
A-A‧‧‧剖線
本發明內容的實施方式可從下面的詳細描述並結合參閱附圖得到最佳的理解。要強調的是,按照在業界的標準實務做法,各種特徵不一定是按比例繪製。事實上,為了清楚的討論各種特徵的尺寸可任意放大或縮小。
第1-21D圖係根據一些示例式實施方式,繪示出形成一鰭式場效電晶體之中間階段的剖面圖和透視圖。
第22圖係根據一些實施方式,繪示出形成一鰭式場效電晶體的步驟流程圖。
第23A、23B及23C圖係根據一些實施方式,繪示出鰭式場效電晶體之通道區域及閘極疊層的剖面圖。
第24-40C圖係根據一些示例式實施方式,繪示出形成一鰭式場效電晶體的剖面圖、上視圖及透視圖。
第41圖係根據一些實施方式,繪示出形成一鰭式場效電晶體的步驟流程圖。
應該理解到,以下揭露的內容提供多種不同的實施方式或實例,用於實現本發明內容的不同特徵。元件和配置的具體實例描述如下以簡化本發明內容。當然,這些僅僅是例子而沒有進行限制的目的。此外,下面某一第一特徵 形成在一第二特徵之上的描述可包括的實施方式為第一和第二特徵直接接觸形成,也可包括其他特徵介於第一與第二特徵之間,使得第一和第二特徵可以不直接接觸。除此之外,本發明內容於各個實例中可能用到重複的參考符號及/或用字。這些重複符號或用字係為了簡化與清晰的目的,並非用以限定各個實施方式及/或所述結構之間的關係。
另外,空間相對用語,如「下」、「低」、「上」等,是用以方便描述一元件或特徵與其他元件或特徵在圖式中的相對關係。這些空間相對用語旨在包含除了圖式中所示之方位以外,裝置在使用或操作時的不同方位。裝置可被另外定位(例如旋轉90度或其他方位),而本文所使用的空間相對敘述亦可相對應地進行解釋。
根據各種的示例式實施方式,提供了一種具有環繞式閘極(Gate-All-Around,GAA)結構之鰭式場效電晶體(Fin Field-Effect Transistors,FinFETs)及其形成方法。以下介紹形成鰭式場效電晶體之中間階段,並討論實施方式的變化。在各個視圖及其繪示之實施方式中,相同的元件符號代表相同的元件。可以理解的是,雖然第1-23C圖及第24-40C圖繪示出不同實施方式,但這些實施方式可結合以形成同一鰭式場效電晶體。例如,如第1-23C圖所示之實施方式,包含鰭式場效電晶體之通道區域及閘極疊層的形成,而如第24-40C圖所示之實施方式,則包含鰭式場效電晶體之源極/汲極區域與源極/汲極矽化物的形成。因此,根據本發明的一些實施方式,可結合通道區域及閘極疊層的形 成,和源極/汲極區域與源極/汲極矽化物的形成,以形成一鰭式場效電晶體。
第1-21D圖係根據一些實施方式繪示出形成鰭式場效電晶體中間階段的透視圖與剖面圖。第1-21D圖所示之步驟亦於第22圖所示之步驟流程300中按綱要式說明。在後續的討論中,第1-21D圖中顯示的製程步驟,將參照第22圖所示之製程步驟討論。
第1圖繪示出有可能是晶圓一部分之基板20的剖面圖。基板20可能為一半導體基板,進一步可能為矽基板、碳矽基板、絕緣層覆矽基板、或利用其他半導體材料形成的基板。基板20可能利用p型或n型雜質做輕摻雜。進行反穿透(Anti-Punch-Through,APT)植入(如箭頭所指)於基板20的頂部以形成反穿透區域21。其各自的步驟呈現在第22圖中製程流程的步驟302。植入於反穿透層的雜質導電型態與阱區(未顯示)中的相同。反穿透層21延伸至之後形成的源極/汲極區域58(第21A圖)底下,用來減少從源極/汲極區域58到基板20的洩漏。反穿透層21的摻雜濃度可能在大約1E18/cm3至大約1E19/cm3之間。為了做更清楚的表達,反穿透層區域21不會繪示於之後的圖式中。
參考第2圖,矽鍺層(silicon germanium,SiGe)22與半導體疊層24用磊晶的方式形成於基板20之上方。其各自的步驟呈現在第22圖中製程流程的步驟304。如前所述,矽鍺層22與半導體疊層24形成結晶層。根據本發明一些實施方式,矽鍺層22之厚度T1在大約5奈米至大約8 奈米的範圍之間。矽鍺層22之鍺比例(原子比例)在大約25%至大約35%的範圍之間,但亦可使用其他更高或更低的比例。可以理解的是,然而,說明書中所述之數值皆為舉例說明,其均可改變為其他數值。
在矽鍺層22上方的是半導體疊層24。根據一些實施方式,半導體疊層24包含交互堆疊的半導體層26與28。半導體層26可為不含鍺的純矽層。半導體層26亦可為實質上的純矽層,舉例來說,其鍺比例低於大約1%。此外,半導體層26可為本質性,其不摻雜p型或n型的雜質。這裡可為二、三、四或多個半導體層26。根據一些實施方式,半導體層26的厚度T2在大約6奈米至大約12奈米的範圍之間。
半導體層28為矽鍺層,其具有的鍺比例,低於矽鍺層22中的鍺比例。根據本發明一些實施方式,矽鍺層28的鍺比例在大約10%至大約20%的範圍之間。除此之外,矽鍺層22與矽鍺層28之間鍺的比例可差異至15%或更高。根據一些實施方式,矽鍺層28的厚度T3在大約2奈米至大約6奈米的範圍之間。
硬遮罩30形成於半導體疊層24之上。根據本發明一些實施方式,硬遮罩30的形成係利用氮化矽、氮氧化矽、碳化矽、碳氮化矽、或其他相似物。
接下來,如第3圖所示,硬遮罩30、半導體疊層24、矽鍺層22與基板20圖案化以形成溝渠32。其各自的步驟呈現在第22圖中製程流程的步驟306。因此而形成半導 體條34。溝渠32延伸進入基板20且具有一長度方向彼此平行。半導體疊層24剩下的部分可相應地以半導體條24之名詞來替換。
參照第4圖,於半導體條34暴露出之部分,進行氧化製程。其各自的步驟呈現在第22圖中製程流程的步驟308。根據本發明一些實施方式,在氧化之前,進行修整步驟以修整矽鍺條22與28,而矽條26不修整。矽鍺層22與28經過修整後,各自在矽條26邊緣形成側向凹槽。修整可以減少矽鍺層22的寬度,使其在之後的氧化過程中,矽鍺層22可以在不增加太多的時間及/或溫度之情況下就被完全氧化。
氧化的結果造成,矽鍺層22完全氧化以形成矽鍺氧化區域38,以及至少外部部分的矽鍺條28氧化以形成矽鍺氧化區域40。矽鍺氧化區域38之厚度可在大約5奈米至大約20奈米的範圍之間。在一些實施方式中,進行氧化的溫度可在大約400℃至600℃的範圍之間。氧化的時間,舉例來說,可在大約2分鐘至大約4小時的範圍之間。氧化矽鍺中之矽,會比氧化相同矽鍺中之鍺來得容易。因此,半導體條28中的矽原子被氧化,且半導體條28中之鍺原子可向內朝向矽鍺條28的中心擴散,所以剩下的矽鍺條28中之鍺比例,比矽鍺條28氧化之前多。
氧化的過程中,氧化矽層36亦形成於基板20與矽條26所暴露出之表面上。由於矽鍺(或矽)區域的氧化速率隨著鍺比例的增加而增加,矽層26與基板20的氧化會比矽 鍺層22與矽鍺條28的氧化慢得多。因此,氧化矽層36較薄,且半導體條34中大部分的矽層26與部分的基板20(參照在下文中的部分條)未被氧化。
接下來參照第5圖,隔離區域42形成於溝渠32之中(第4圖),且其可為淺溝渠隔離(Shallow Trench Isolation,STI)區域。形成的方式可包含填充介電層於溝渠32中,舉例來說,利用可流動式化學氣相沉積(Flowable Chemical Vapor Deposition,FCVD),再進行化學機械研磨(Chemical Mechanical Polish,CMP)以夷平介電材料之上表面和硬遮罩30之上表面。經過化學機械研磨後,硬遮罩30(第4圖)已被移除。
接下來,參照第6圖,凹槽化淺溝渠隔離區域42。第5、6圖所示之步驟顯示於第22圖中製程流程的步驟310。處理完的淺溝渠隔離區域42之上表面42A可與矽鍺氧化區域38之上表面、下表面平齊,或位於矽鍺氧化區域38上表面與下表面中間的任何水平高度。在說明書中,以下半導體疊層24亦可參做為半導體鰭片24。
第7圖繪示出虛擬氧化層44的形成,根據一些實施方式可包含氧化矽。故虛擬氧化層44保護半導體條24的側壁、矽鍺氧化區域38與半導體疊層24的上表面。虛擬氧化層44亦延伸至淺溝渠隔離區域42的上表面。由於虛擬氧化層44與淺溝渠隔離區域42可用相同介電材料(例如氧化矽)形成,因此雖然在一些實施方式中可區別,但虛擬氧化層44與淺溝渠隔離區域42之間的界面仍未顯示出來。在 其他實施方式中,此界面無法區別。
參照第8圖,形成虛擬閘極疊層46。其各自的步驟呈現在第22圖中製程流程的步驟312。根據本發明一些實施方式,虛擬閘極疊層46包含虛擬閘極電極48,舉例來說,其可利用多晶矽形成。虛擬閘極疊層46亦可包含在虛擬閘極電極48上方的硬遮罩層50。硬遮罩層50可包含氮化矽及/或氧化矽,舉例來說,亦可為單層或包含複數層的複合層。在一些實施方式中,硬遮罩層50包含氮化矽層50A與在氮化矽層50A上方的氧化矽層50B。虛擬閘極疊層46具有一長度方向,實質上垂直於半導體鰭片24之長度方向。
閘極間隙壁54形成在虛擬閘極疊層46的側壁。根據本發明一些實施方式,閘極間隙壁54係利用氮化矽形成並可為單層結構。在替代的實施方式中,閘極間隙壁54具有包含複數層的複合結構。舉例來說,閘極間隙壁54可包含氧化矽層及位於氧化矽層上方的氮化矽層。虛擬閘極疊層46與閘極間隙壁54覆蓋每一半導體鰭片24的中間部分,保留相對兩端部分未被覆蓋。
第9圖繪示出移除半導體鰭片24的端部。如第8圖所示,進行乾蝕刻以蝕刻虛擬氧化層44、半導體疊層24與矽鍺氧化區域38。結果可形成凹槽56。根據本發明一些實施方式,虛擬氧化層44的一些部分可被豎立與排列在淺溝渠隔離區域42的邊緣,並於其之間形成凹槽56。
接下來參照第10圖,將半導體材料選擇性生長於凹槽56(第9圖)中以形成磊晶區域(源極/汲極區域)58。根 據本發明一些實施方式,源極/汲極區域58的形成包含磊晶生長。根據替代的實施方式,形成源極/汲極區域58的完成係透過採取如第28-37A圖所示之製程步驟。其各自的步驟呈現在第22圖中製程流程的步驟314。如第10圖所示,由於虛擬氧化層44剩下部份的阻擋,源極/汲極區域58在凹槽56(第9圖)中,會優先垂直生長,而在此期間,源極/汲極區域58不水平生長。在凹槽56完全填滿後,源極/汲極區域58會朝垂直及水平方向生長以形成複數個小平面。
在一些示例式的實施方式中,其所產生的鰭式場效電晶體為n型鰭式場效電晶體,源極/汲極區域58包含矽磷(silicon phosphorous,SiP)或磷摻雜碳化矽(phosphorous-doped silicon carbon,SiCP)。在替代示例式的實施方式中,其所產生的鰭式場效電晶體為n型鰭式場效電晶體,源極/汲極區域58包含矽鍺,以及p型雜質例如硼或銦,可於磊晶時同步摻雜。
接下來如第11圖所示,形成層間介電質(Inter-Layer Dielectric,ILD)。其各自的步驟呈現在第22圖中製程流程的步驟316。進行化學機械研磨將層間介電質60、虛擬閘極疊層46(第10圖)與閘極間隙壁54(第10圖)的上表面磨平至相同高度。每個層間介電質60、閘極間隙壁54與隔離區域42與其他層間介電質60、閘極間隙壁54與淺溝渠隔離區域42可以具有可區別的界面,因為其形成於不同的製程步驟、具有不同密度、及/或包含不同介電材料。
接下來,第10圖中所示之虛擬閘極46在蝕刻步 驟中被移除,使凹槽62可形成延伸進入層間介電質60如第11圖所示。其各自的步驟呈現在第22圖中製程流程的步驟318。為了繪示層間介電質60前面部分後面的特徵,前面部分的層間介電質60沒有在之後的圖中顯示,以便繪示其內部的特徵。可以理解的是,層間介電質60未繪示出的部分依然存在。將虛擬閘極疊層46移除後,半導體疊層(鰭片)24的中間部分向凹槽62暴露出來。在移除虛擬閘極疊層46的時候,當上層被蝕刻時,虛擬閘極氧化物44(第7圖)被用來當作蝕刻終止層。而後移除虛擬閘極氧化物44,半導體鰭片24因此向凹槽62暴露出來。
參照第12A圖,進行一蝕刻步驟以移除矽鍺氧化區域40(亦參照第9圖)、集中的半導體條28與矽鍺氧化區域38(第8圖)的一些頂部。其各自的步驟呈現在第22圖中製程流程的步驟320。因此,矽條26藉由間隙64將彼此分開。此外,位於底部之矽條26亦可藉由間隙64,將其與剩下之矽鍺氧化區域38分離,結果產生懸浮之矽條26。懸浮矽條26之相對兩端連接至源極/汲極區域58。可以理解的是,淺溝渠隔離區域42包含在下面且向凹槽62暴露出來的第一部分,和被閘極間隙壁54與層間介電質60覆蓋的第二部分。根據本發明一些實施方式,凹槽化淺溝渠隔離區域42第一部分,使其上表面低於淺溝渠隔離區域42第二部分之上表面。
第12B圖將矽條26之部分較清晰的繪示出。第12A圖所示之層間介電質60、源極/汲極區域58與閘極間隙 壁54雖然未於第12B圖中顯示,但其特徵依然存在。
參照第13A與13B圖,進行氧化步驟。其各自的步驟呈現在第22圖中製程流程的步驟322。第13B圖亦繪示出第13A圖所示之結構的一些部份,其中第13A圖所示之層間介電質60、源極/汲極區域58與閘極間隙壁54雖然未於第13B圖中顯示,但其特徵依然存在。根據本發明一些實施方式,氧化步驟的進行可利用水蒸氣的蒸氣氧化、氧的熱氧化或其他類似方法。根據本發明一些實施方式,氧化步驟係利用水蒸氣在溫度介於大約400℃至大約600℃的範圍之間進行。氧化步驟持續的時間可介於大約20秒至大約20分的範圍之間。如第13B圖所示,氧化步驟完成後,矽條26之外部部分會被氧化以形成氧化矽環66,環繞於矽條26之剩下部分。在一些實施方式中,氧化矽環66係從鄰近的矽條26相互接觸而形成。此外,在一些實施方式中,氧化矽環66係形成於位於底部之矽條26,且可與矽鍺氧化區域38之上表面接觸。在替代的實施方式中,氧化矽環66係形成於鄰近之矽條26間,且可將其彼此間隔開。
在氧化步驟中,核心電路(亦稱為邏輯電路)中之核心鰭式場效電晶體與輸入/輸出(input/output,IO)電路中之輸入/輸出(input/output,IO)鰭式場效電晶體,分別具有其各自之半導體條,而該半導體條會同時氧化。第13A、13B圖繪示出核心鰭式場效電晶體與IO鰭式場效電晶體之結構。在後續步驟中,如第14A圖所示,形成光阻68以覆蓋IO區域200之氧化矽環66,但核心區域100未被覆 蓋。而後進行蝕刻以移除核心區域100中之氧化矽環66,使矽條26暴露出。另一方面,IO區域200中之氧化矽環66未被移除,因此於蝕刻後仍能保留下來。其各自的步驟呈現在第22圖中製程流程的步驟324。而後將光阻68移除。在蝕刻後,位於核心區域100之矽條26再藉由間隙將彼此分開,而位於底部之矽條26亦可藉由間隙,將其與矽鍺氧化區域38之上表面間隔開。第14B圖繪示出第14A圖所示結構之一些部分,其中第14A圖所示之層間介電質60、源極/汲極區域58與閘極間隙壁54雖然未於第14B圖中顯示,但其特徵依然存在。
在後續步驟中,形成閘極介電質70。其各自的步驟呈現在第22圖中製程流程的步驟326。對於在核心區域(第14A、14B圖中之100)中之核心鰭式場效電晶體,閘極介電質70形成於矽條26暴露出的表面之上(第14A、14B圖)。最後之結構顯示於第15A、15B圖。對於IO區域(第14A、14B圖中之200)中之IO鰭式場效電晶體,閘極介電質70形成於已形成之氧化矽環66之上,因此氧化矽環66成為閘極介電質70之一部分。因此,核心鰭式場效電晶體與IO鰭式場效電晶體具有顯示於第15A及15B圖之結構,其中IO鰭式場效電晶體的閘極介電質70,較核心鰭式場效電晶體的閘極介電質70來得厚。再者,第15B圖亦繪示出第15A圖所示結構之一些部分,其中第15A圖所示之層間介電質60、源極/汲極區域58與閘極間隙壁54未於第14B圖中顯示。
根據一些實施例,閘極介電質70之形成包含完成一界面(介電質)層,而後形成高k介電質層於界面層之上。界面層可包含氧化矽,氧化矽之形成係利用化學溶液處理第14A及14B圖中所示之結構,使矽條26被氧化以形成化學氧化物(氧化矽)。結果所產生的IO區域中之閘極介電質70,將比位於核心區域之閘極介電質來得厚。接著,高k介電質沉積於介面層之上。在一些實施方式中,高k介電質具有大於約7.0的k值,且可包含金屬氧化物或鉿(Hf)、鋁(Al)、鋯(Zr)、鑭(La)之矽酸鹽(silicate),以及其他類似物。
第16A圖繪示出閘極電極72的形成。其各自的步驟呈現在第22圖中製程流程的步驟328。此形成的步驟包含將導電材料填入凹槽62,以及進行平坦化例如化學機械研磨。閘極電極72可包括含金屬材料例如氮化鈦(TiN)、氮化鉭(TaN)、碳化鉭(TaC)、鈷(Co)、釕(Ru)、鋁(Al)、銅(Cu)、鎢(W)、其組合物或其多層組合。鰭式場效電晶體74因此而形成。反穿透區域21位於矽鍺氧化區域38與源極/汲極區域58之下方。
第16B、16C圖繪示出第16A圖所示鰭式場效電晶體74之一些部分的剖面圖,其中該剖面圖係從第16A圖中垂直平面之16B/16C-16B/16C剖線而得到的。如第16B、16C圖所示,閘極介電質70完全填入位於鄰近矽條26間之間隙。因此,閘極電極72將無法填入位於鄰近矽條26間之間隙,且不會導致源極/汲極區域58的短路(第16A圖)。
第16B、16C圖亦繪示出閘極介電質70包含氧化矽76和位於氧化矽76外側之高k介電質78。當鰭式場效電晶體74為核心鰭式場效電晶體,氧化矽76包含界面層。當鰭式場效電晶體74為IO鰭式場效電晶體,氧化矽76包含氧化矽環66(第13A、13B圖)與界面層。根據一些實施方式,在第16B圖中,氧化矽76形成於鄰近矽條26之上且彼此相互接觸。根據一些實施方式,在第16C圖中,氧化矽76形成鄰近矽條26之上但不會彼此接觸,且高k介電質78填入位於氧化矽76間之間隙,而前述之氧化矽76係形成於鄰近矽條26之上。
根據一些替代的實施方式,第17A-22圖繪示出形成鰭式場效電晶體中間階段的剖面圖。除非另有說明,在這些實施方式中的元件,其材料及形成方法實質上與類似元件相同,而類似元件在第1-16C圖所示之實施方式中皆以相同的元件符號表示。關於第17A-22圖所示結構之詳細形成步驟與材料,可參考第1-16C圖所示之實施方式的說明。
如第1-11圖所示,這些實施方式的起始步驟實質上相同。接著,第17A、17B圖繪示出類似於第12A、12B圖所示之蝕刻步驟。參考第17A圖,進行蝕刻以移除矽鍺氧化區域40(亦參考第9圖)、集中的半導體條28與矽鍺氧化區域38(第8圖)的一些頂部。因此,矽條26藉由間隙64將彼此分開。此外,位於底部之矽條26亦可藉由間隙64,將其與剩下之矽鍺氧化區域38分離。將其與第12A、12B圖所示之步驟比較,其中部分的淺溝渠隔離區域42與矽鍺氧化區域 38之凹槽深度較第12A、12B圖來得深。結果造成,矽條26底部與矽鍺氧化區域38上表面之間的間隙64高於第12A、12B圖所示。
接著,第18A、18B圖分別繪示出與第13A、13B圖實質上相同之製程步驟與結構,其中包含氧化步驟的進行以及氧化矽環66的形成。位於底部的氧化矽環66可藉由間隙64,將其與矽鍺氧化區域38之上表面間隔開。第19A、19B圖繪示出與第14A、14B圖實質上相同之製程步驟與結構,其中包含從核心裝置區域移除氧化矽環66。在此同時,IO區域(未顯示)中之氧化矽環66會被保護而不會被移除。第20A、20B圖繪示出與第15A、15B圖實質上相同之製程步驟與結構,其中包含閘極介電質70的形成。第21A、21B圖繪示出與第16A、16B圖實質上相同之製程步驟與結構,其中包含閘極電極72的形成。
第21C、21D圖繪示出第21A圖所示鰭式場效電晶體74之一些部分的剖面圖,其中該剖面圖係從第21A圖中垂直平面之21C/21D-21C/21D剖線而得到的。如第21C、21D圖所示,閘極介電質70完全填入位於鄰近矽條26間之間隙。因此,閘極電極72將無法填入位於鄰近矽條26間之間隙,且不會導致源極/汲極區域58的短路(第16A圖)。
如第17A、17B圖所示,因為淺溝槽隔離區域42與矽鍺氧化區域38較深的凹槽化,使淺溝槽隔離區域42與矽鍺氧化區域38更遠離其上方之矽條26。如第21C、21D圖所示,至少一些矽鍺氧化區域38的上表面,會與形成於 矽條26底部之閘極介電質70間隔開。在第21C圖中,矽鍺氧化區域38的中央部份具有較少的凹槽化,因而會突出高於矽鍺氧化區域38相對於中央部分的相對側部分。閘極介電質70填入矽鍺氧化區域38中央部分與矽條26底部之間的空間。在第21D圖中,形成於矽條26底部之閘極介電質可藉由間隙,將其與形成於矽鍺氧化區域38和淺溝槽隔離區域42之上表面的介電質(亦標示為70)間隔開。而閘極電極72則填入該間隙。
第23A、23B及23C圖係根據替代的實施方式繪示出鰭式場效電晶體之通道與閘極的剖面圖。在這些實施方式中,以二代替三或四之矽條26。此外,半導體條26具有一高度大於其各自的寬度。舉例來說,每一矽條26之高度H1可為介於大約10nm至大約30nm之間的範圍,且每一矽條26之寬度W1可為介於大約6nm至大約12nm之間的範圍。第23A、23B及23C圖繪示出一些各自對應於第16B/16C、21C及1D之實施方式,因此其詳細說明在此便不再重複。
本發明一些實施方式具有一些優勢特徵。在通道材料(矽條26)的形成之前進行反穿透植入。於是,所產生之鰭式場效電晶體的通道不會受到植入之摻雜物的影響。因此可以消除,傳統的反穿透植入所導致的雜質散射與載子遷移率降低之問題。所產生之鰭式場效電晶體為一種具有複數個通道之環繞式閘極鰭式場效電晶體(GAA FinFET)。因此,可改善汲極引致能障下降(Drain-Induced Barrier Lowering,DIBL)相關的短通道效應。此外,因為複數個通道,亦可改善鰭式場效電晶體的驅動電流。
根據一些替代的實施方式,第24-40C圖繪示出形成鰭式場效電晶體中間階段的剖面圖。除非另有說明,在這些實施方式中的元件,其材料及形成方法實質上與類似元件相同,而類似元件在第1-23C圖所示之實施方式中皆以相同的元件符號表示。關於第24-40C圖所示結構之詳細形成步驟與材料,可參考第1-23C圖所示之實施方式的說明。第24-40C圖所示之步驟亦於第41圖所示之步驟流程400中按綱要式說明。
第24圖繪示出反穿透植入(如箭頭所指)的形成以於基板20中以形成反穿透區域21。其各自的步驟呈現在第41圖中製程流程的步驟402。製程步驟和製程細節與第1圖所示實質上相同,因此其詳細說明在此便不再重複。
接下來如第25圖所示,矽鍺層22與半導體層124用磊晶的方式形成於基板20之上方。其各自的步驟呈現在第41圖中製程流程的步驟404。如前所述,矽鍺層22形成結晶層。矽鍺層22之鍺比例(原子比例)在大約25%至大約35%的範圍之間,但亦可使用其他更高或更低的比例。根據本發明一些實施方式,矽鍺層22之厚度T4在大約5奈米至大約8奈米的範圍之間。
半導體層124形成於矽鍺層22之上。根據本發明一些實施方式,半導體層124可為由均質的半導體材料所形成的單層,舉例來說,半導體層124的形成係利用不含鍺 的矽。半導體層124亦可為實質上的純矽層,舉例來說,其鍺比例低於大約1%。此外,半導體層124可為本質性,其不摻雜p型或n型的雜質。根據一些實施方式,半導體層124的厚度T4在大約30奈米至大約80奈米的範圍之間。
根據本發明一些替代的實施方式,半導體層124可為複合結構,其為具有實質上與第2圖所示之半導體疊層24相同結構的半導體疊層,因此,複合半導體層124的結構和材料可參考半導體疊層24的描述。
此外,硬遮罩(未顯示)可形成於半導體疊層124之上。根據本發明一些實施方式,硬遮罩的形成係利用氮化矽、氮氧化矽、碳化矽、碳氮化矽、或其他相似物。
接下來,如第26圖所示,硬遮罩、半導體層124、矽鍺層22與基板20圖案化以形成溝渠32。其各自的步驟呈現在第41圖中製程流程的步驟406。因此而形成半導體條34。溝渠32延伸進入基板20,且溝渠32和半導體條34具有彼此平行的長度方向。半導體層124剩下的部分可相應地以條之名詞來替換。在後續步驟中,溝渠32被淺溝渠隔離區域42填滿,接著凹槽化淺溝渠隔離區域42。在第26圖和之後的圖中,沒有顯示淺溝渠隔離區域42和基板20的下部,在半導體層22之下的結構部分實質上與第6圖所示結構的下部相同,基板20的部份(參照在下文中的基板條)位於淺溝渠隔離區域42的相對部份之間。
在淺溝渠隔離區域42的凹槽化之後,淺溝渠隔離區域42的上表面低於矽鍺條22的上表面。根據本發明一 些實施方式,淺溝渠隔離區域42的上表面平齊於或略低於矽鍺條22的上表面,使矽鍺條22的側壁的至少一些部份和可能全部的部份暴露出來。
接下來參照第27圖,在半導體條(鰭片)34暴露出之部分,進行氧化製程以形成矽鍺氧化區域38。其各自的步驟呈現在第41圖中製程流程的步驟408。氧化步驟完成後,矽鍺層22被完全氧化以形成矽鍺氧化區域38。根據一些實施方式,進行氧化的溫度可在大約400℃至600℃的範圍之間。氧化的時間,舉例來說,可在大約2分鐘至大約4小時的範圍之間。氧化的過程中,氧化矽(未顯示)亦形成於半導體條124暴露出之表面上。因為矽的氧化速率會比矽鍺的氧化速率慢得多,在半導體條124上的氧化矽層會較薄,因此,在此便不再說明。
在一些實施方式中,半導體條124具有如第3圖所示之半導體條24相同的結構。在氧化後,最後之結構將包含矽鍺氧化區域38、集中的矽鍺區域28,與第4圖所示之相似。
接下來參照第28-30圖,形成蝕刻終止層(Etch Stop Layer)122。其各自的步驟呈現在第41圖中製程流程的步驟410。在接觸開口的後續形成中,以蝕刻終止層122充當蝕刻終止層,接觸開口是為了形成源極/汲極矽化物和源極/汲極接觸。根據本發明一些實施方式,蝕刻終止層122包含氮碳化矽(SiCN),然而也可使用其他介電材料。蝕刻終止層122可具有厚度在大約3奈米至大約10奈米的範圍之 間。
參照第28圖,蝕刻終止層122形成為保形層(Conformal Layer),因此覆蓋半導體鰭片124的側壁和上表面以及矽鍺氧化區域38的的上表面。根據一些實施方式,蝕刻終止層122之厚度T5在大約3奈米至大約10奈米的範圍之間。
接下來參照第29圖,形成介電區域128以填充溝渠32(第28圖)。舉例來說,可以使用可流動式化學氣相沉積進行填充。根據一些實施方式,介電區域128可包含氧化矽。剩下之介電區域128的上表面高於矽鍺氧化區域38的上表面。
第29圖亦繪示出蝕刻終止層122暴露出之部分的氧化,使蝕刻終止層122暴露出之部分轉變成介電層126。當蝕刻終止層122由氮碳化矽形成,剩下之介電層包含氮碳氧化矽(SiOCN),其具有與碳氮化矽不同的蝕刻特性,此外,使用濕蝕刻時,氮碳氧化矽比氮碳化矽更容易被移除。因此,這樣的轉變可在不破壞半導體鰭片124的情況下移除蝕刻終止層122暴露出之部分。根據本發明一些實施方式,蝕刻終止層122的氧化步驟的進行可利用爐退火(Furnace Anneal)(在含氧氣體中)、氧植入(Oxygen Implantation)、或其它相似物。
形成介電層126之後,舉例來說,以溼蝕刻移除介電層126,最後之結構顯示於第30圖,結果造成,半導體鰭片124暴露出來,蝕刻終止層122之未轉變的部分維持 原樣。在剖面圖中,蝕刻終止層122剩下的部分具有U型(也包含L型)。根據本發明一些實施方式,剩下的蝕刻終止層122之上表面齊高於或高於矽鍺氧化區域38之上表面,使蝕刻終止層122亦能在為了形成接觸開口的後續蝕刻之中,保護矽鍺氧化區域38。在最後之結構中,蝕刻終止層122的垂直部分可具有一部分與矽鍺氧化區域38共平面,又或是,蝕刻終止層122的垂直部分可高於矽鍺氧化區域38。
第31圖繪示出虛擬閘極疊層46的形成的上視圖,虛擬閘極疊層形成在半導體鰭片124的上表面和側壁上。其各自的步驟呈現在第41圖中製程流程的步驟412。虛擬閘極疊層46的剖面圖可實質上與第34B圖所示之相同,此時,沒有閘極間隙壁形成在虛擬閘極疊層46的側壁上。根據一些實施方式,虛擬閘極疊層包含虛擬閘極電極48,舉例來說,虛擬閘極電極48可利用多晶矽形成。虛擬閘極疊層46亦可包含硬遮罩層50。舉例來說,硬遮罩層50可包含氮化矽層50A和在氮化矽層50A之上的氧化矽層50B。虛擬閘極疊層46具有一長度方向,實質上垂直於半導體鰭片124之長度方向,其中,半導體鰭片124的相對兩端未被虛擬閘極疊層46覆蓋。
第32-38B圖繪示源極和汲極區域的形成(參照在下文中的源極/汲極區域),第32-38圖的圖示編號後有字母「A」或字母「B」,其中字母「A」表示各自的圖示得自於與含有第31圖的剖線A-A的垂直平面一樣的平面,字母「B」表示各自的圖示(除了第34B圖)得自於與含有第31 圖的線B-B的垂直平面一樣的平面,因此,圖示編號後有字母「A」繪示源極/汲極區域的剖面圖,圖示編號後有字母「B」繪示虛擬閘極疊層46的剖面圖。
第32-34B圖繪示為了磊晶生長源極/汲極區域的源極/汲極模板的形成。其各自的步驟呈現在第41圖中製程流程的步驟414。參照第32圖,形成介電層130,接著形成介電層132在介電層130之上。介電層130和132的材料是不同的,根據一些實施方式,介電層132的形成係利用氮碳氧化矽,介電層130的形成係利用不同於介電層132的材料,舉例來說,在一些實施方式中,介電層130的形成係利用氧化矽。介電層130的形成具有增加最後的源極/汲極區域寬度的優勢特徵,將在後續的段落中討論。形成介電層130和132為保形層,因此亦將在虛擬閘極疊層的側壁(如第34B圖所示)和上表面之上延伸。
第33圖繪示出透過蝕刻移除半導體鰭片124,其中,被移除的部分沒有被虛擬閘極疊層46(第31圖)覆蓋。在蝕刻中,也移除介電層130和132在半導體鰭片124之上的一些部分,在半導體鰭片124的蝕刻之後,矽鍺氧化區域(第32圖)亦被蝕刻,因此形成源極/汲極凹槽136延伸至淺溝渠隔離區域42之間的基板20的一些部分上,凹槽136具有實質上垂直的側壁,其側壁包含介電層130和蝕刻終止層122的側壁。根據一些實施方式,蝕刻為非等方向性的。
接下來,進行蝕刻步驟移除介電層130,最後之結構顯示於第34A、34B圖,其分別繪示源極/汲極區域 的剖面圖、源極/汲極區域和虛擬閘極疊層46的側視圖。蝕刻可為等方向性的,舉例來說,使用溼蝕刻。結果,增加凹槽136的側向寬度超過第33圖中所示,這可有利於增加後續在凹槽136中生長的源極/汲極區域的寬度。此外,介電層132(參照在下文中的介電模板132)之剩下部分的下表面藉由間隙138與下面的介電區域128相隔。因此產生懸浮之介電模板132。
如第34B圖之透視圖所示,介電模板132連接至在虛擬閘極疊層46側壁上的介電層132的一些部份,因此不會脫落,同樣的,在虛擬閘極疊層46側壁上的介電層130的一些部份仍能保留下來,且暴露於凹槽136。
在後續的步驟中,如第34A圖和第34B圖所示,源極/汲極區域磊晶生長在凹槽136之中。其各自的步驟呈現在第41圖中製程流程的步驟416。間隙138(第34B圖)的存在,使前驅物(Precursor)容易到達凹槽136的底部和內部,因此,最後之源極/汲極區域較不可能有孔洞(Void)。第35圖繪示最後之源極/汲極區域58,最後之源極/汲極區域的材料和形成步驟與第10圖所示之類似,因此在此便不再重複。源極/汲極區域58包含具有垂直側壁的部份58A,具有小平面58’和58”的部份58B,介於蝕刻終止層122和形成在間隙138(第34A圖)中的部份58D之間的部份58C。
第36A-37B圖繪示源極/汲極區域58的修整,使第35圖中的小平面58’和58”被移除以形成垂直的源極/ 汲極區域58。其各自的步驟呈現在第41圖中製程流程的步驟418。參照第36A圖,形成介電層140。根據一些實施方式,介電層140的形成係利用與介電層132一樣的材料,舉例來說,其可包含氮碳氧化矽。如第36B圖所示,其繪示虛擬閘極疊層46以及介電層140,介電層140亦形成在虛擬閘極疊層46上且接觸介電層132。
接下來,如第37A-37B圖所示,進行乾蝕刻以蝕刻介電層140重疊於源極/汲極區域58的一些部份,使源極/汲極區域58暴露出來。接著進行修整步驟,舉例來說,使用非等方向性(乾)蝕刻,以移除源極/汲極區域58的小平面。最後的結構如第38A-38B圖所示,分別繪示出源極/汲極部份和虛擬閘極疊層。因為修整源極/汲極,最後之源極/汲極區域58具有實質上垂直的側壁,沒有任何實質上的小平面保留下來。暴露出來的源極/汲極區域58的側壁為實質上垂直且平直的。接下來,進行乾蝕刻移除介電層132和140在源極/汲極區域58側壁上的部份,蝕刻終止層122因此暴露出來。在此同時,如第38B圖所示,虛擬閘極疊層46的上表面亦暴露出來,介電層132和140之剩下部份形成閘極間隙壁132/140,可以理解的是,因為介電層132和140在不同的流程步驟中形成,兩者可具有可區分的界面,無論此兩者是否以相同或不同的材料形成。介電層140的形成有利於增加閘極間隙壁的厚度,使第38B圖的結構中,閘極間隙壁132/140的上部末端高於多晶矽層48的上表面,在最後之結構中,閘極間隙壁132/140之厚度在大約3奈米至大約10奈 米的範圍之間。
接下來,如第39A-39B圖所示,形成層間介電質60。其各自的步驟呈現在第41圖中製程流程的步驟420。進行化學機械研磨將層間介電質60、虛擬閘極疊層46與閘極間隙壁132/140的上表面磨平至相同高度。在後續步驟中,如第40A圖所示,移除虛擬閘極疊層46(第39B圖),閘極介電質(未顯示)和閘極電極72形成替代閘極。其各自的步驟呈現在第41圖中製程流程的步驟422。在一些實施方式中,半導體鰭片124(第34B圖)的形成係利用均質的材料。替代閘極的形成包含形成界面介電質層和高k介電質層在半導體鰭片124(第34B圖)的側壁和上表面之上;形成導電材料在高k介電質層之上;以及進行化學機械研磨使界面介電質層、高k介電質層以及導電材料的上表面與層間介電質60之上表面平齊。在替代的實施方式中,其中半導體鰭片124具有如第2圖所示之半導體疊層24所示之相同結構,可進行第11-16B圖所示之步驟以形成替代閘極。
再次參照第40A圖,在形成替代閘極之後,蝕刻層間介電質60以形成接觸開口(被如第40A和40B所示之接觸插塞146填滿),其中源極/汲極區域58暴露於接觸開口。在層間介電質60的蝕刻中,蝕刻終止層122做為保護下面的淺溝渠隔離區域42的蝕刻終止層。蝕刻終止層122的上部末端可高於矽鍺氧化區域38的上部末段,高度差為△H,可在大約2奈米至大約5奈米的範圍之間,使矽鍺氧化區域38受到恰當的保護而不被蝕刻。根據本發明一些實施方 式,如第40A圖所示,蝕刻終止層122的大部分高於矽鍺氧化區域38。在替代的實施方式中,如第30圖所示,蝕刻終止層122和矽鍺氧化區域38的大部分彼此齊平。
接下來,進行矽化步驟以形成源極/汲極矽化區域144在源極/汲極區域58的側壁上,接著以導電材料填充剩下之接觸開口以形成源極/汲極接觸插塞146。其各自的步驟呈現在第41圖中製程流程的步驟424和426。根據本發明一些實施方式,矽化區域包含矽化鎳、矽化鈦、矽化鈷、或其他類似物。接觸插塞146可包含鈷、鎢、或其他類似物。如第40A圖所示,因此形成鰭式場效電晶體74。
根據各種實施方式,第40B圖和第40C圖繪示鰭式場效電晶體74的源極/汲極部分的剖面圖,其中剖面圖得自第40A圖中的含有剖線A-A的平面。在第40B圖中,在矽化步驟之後,移除用以形成矽化金屬的剩下之金屬,因此,接觸插塞146接觸矽化區域144。在第40C圖中,用以形成矽化金屬的剩下之金屬148沒有被移除,金屬148包含鎳、鈦、鈷、或其他類似物。
本發明一些實施方式具有一些優勢特徵。如第40B圖所示,相鄰的淺溝渠隔離區域42具有距離W1,其為介於淺溝渠隔離區域42之間的基板20的條部分之寬度,源極/汲極區域58有寬度為W1的下部58C,源極/汲極區域58進一步具有寬度為W2的上部58A/58B,寬度W2大於W1。舉例來說,寬度W1可為介於大約2nm至大約6nm之間的範圍,寬度W2可為介於大約6nm至大約12nm之間的範圍,寬 度差(W2-W1)的產生是由於介電層130(第32圖)的形成和移除,因此,源極/汲極區域的寬度是優勢地大於下面的基板部分的寬度,此外,藉由形成介電模板,從模板形成源極/汲極區域,然後修整源極/汲極區域,使最後之源極/汲極區域可具有很高的高度,但仍具有垂直側壁。因此,矽化區域可形成在源極/汲極區域的高且垂直的側壁上,因此,減少源極/汲極接觸電阻,導致最後之鰭式場效電晶體的飽和電流增加。
根據本發明一些實施方式,一半導體裝置包含:複數個隔離區域,延伸進入一半導體基板,在這些隔離區域的複數個相對部份之間有一具有一第一寬度的基板條;一源極/汲極區域,具有一重疊基板條的部分,其中源極/汲極區域的一上部具有一大於第一寬度的第二寬度,以及源極/汲極區域的上部具有實質上垂直的複數個側壁;以及一源極/汲極矽化區域,具有複數個內部側壁接觸源極/汲極區域的這些垂直的側壁。
根據本發明一些替代實施方式,一半導體裝置包含:複數個淺溝槽隔離區域;一半導體條,在這些淺溝槽隔離區域的相對部份之間;一氧化區域,重疊半導體條;以及一源極/汲極區域,重疊氧化區域,源極/汲極區域包含:一下部,其中半導體條的各複數個邊緣、氧化區域以及源極/汲極區域實質上對齊排列;以及一上部,在下部之上,其中上部包含實質上垂直的複數個側壁,以及上部側向延伸越過下部的各這些邊緣。
根據本發明另一些替代實施方式,一種形成半導體裝置的方法,包含:形成一半導體鰭片,突出複數個隔離區域的複數個上表面;形成一閘極疊層,覆蓋半導體鰭片的一中部,半導體鰭片的一末端部沒有被閘極疊層覆蓋;形成複數個介電模板在半導體鰭片的末端部的異側;蝕刻半導體鰭片的末端部,以在這些介電模板之間形成一凹槽;從凹槽長出一源極/汲極區域,其中源極/汲極區域在凹槽中包含一第一部分以及一第二部分在這些介電模板之上,第二部分比第一部分更寬;以及修整第二部分以減少第二部分的一寬度。
前面已概述了一些實施方式的特徵,使得本技術領域中具有通常知識者可以更佳理解其中的詳細描述。本技術領域中具有通常知識者應當理解,其可以容易使用本發明內容作為用於實現相同目的及/或實現本文中所介紹的實施方式中相同的優點設計或修改其他過程和結構基礎。本技術領域中具有通常知識者也應該認識到,此類等效構造不脫離本發明內容中所揭露的精神和範圍,並且可以對其進行各種改變,替代和變更,而不脫離本發明內容之精神和範圍。
20‧‧‧基板
42‧‧‧淺溝渠隔離區域
58‧‧‧源極/汲極區域、磊晶區域
72‧‧‧閘極電極
74‧‧‧鰭式場效電晶體
122‧‧‧蝕刻終止層
132‧‧‧介電層、介電模板、閘極間隙壁
140‧‧‧閘極間隙壁
144‧‧‧矽化區域
146‧‧‧接觸插塞

Claims (10)

  1. 一半導體裝置,包含:複數個隔離區域,延伸進入一半導體基板,在該些隔離區域的複數個相對部份之間有一具有一第一寬度的基板條;一源極/汲極區域,具有一重疊該基板條的部分,其中該源極/汲極區域的一上部具有一大於該第一寬度的第二寬度,以及該源極/汲極區域的該上部具有實質上垂直的複數個側壁;以及一源極/汲極矽化區域,具有複數個內部側壁接觸該源極/汲極區域的該些垂直的側壁。
  2. 如請求項1所述之半導體裝置,進一步包含:一介電蝕刻終止層,包含:一水平部分,具有一下表面接觸該些隔離區域的一上表面;以及一垂直部分,包含一底部末端連接該水平部分的一末端,其中該源極/汲極區域包含一側壁接觸該介電蝕刻終止層的該垂直部分的一內部側壁。
  3. 如請求項2所述之半導體裝置,進一步包含一具有一側壁之接觸插塞,包含:一上部,接觸該源極/汲極矽化區域的一外部側壁;以及 一下部,接觸該介電蝕刻終止層的該垂直部份的該垂直部份的一外部側壁。
  4. 一半導體裝置,包含:複數個淺溝槽隔離區域;一半導體條,在該些淺溝槽隔離區域的複數個相對部份之間;一氧化區域,重疊該半導體條;以及一源極/汲極區域,重疊該氧化區域,該源極/汲極區域包含:一下部,其中該半導體條的各複數個邊緣、該氧化區域以及該源極/汲極區域實質上對齊排列;以及一上部,在該下部之上,其中該上部包含實質上垂直的複數個側壁,以及該上部側向延伸越過該下部的各該些邊緣。
  5. 如請求項4所述之半導體裝置,進一步包含一介電蝕刻終止層,包含在該源極/汲極區域的該下部的相對側的複數個部分,其中該介電蝕刻終止層的複數個側壁接觸該源極/汲極區域的該下部的複數個側壁。
  6. 如請求項5所述之半導體裝置,其中該介電蝕刻終止層包含:一下部接觸該淺溝槽隔離區域的一上表面;以及一上部與該下部形成一L型。
  7. 如請求項6所述之半導體裝置,進一步包含一接觸插塞接觸該介電蝕刻終止層的該下部的一側壁以及該介電蝕刻終止層的該上部的一上表面。
  8. 如請求項4所述之半導體裝置,其中該源極/汲極區域由一鰭式場效電晶體組成。
  9. 一種形成半導體裝置的方法,包含:形成一半導體鰭片,突出於複數個隔離區域的複數個上表面;形成一閘極疊層,覆蓋該半導體鰭片的一中部,該半導體鰭片的一末端部沒有被該閘極疊層覆蓋;形成複數個介電模板在該半導體鰭片的該末端部的相對側;蝕刻該半導體鰭片的該末端部,以在該些介電模板之間形成一凹槽;從該凹槽長出一源極/汲極區域,其中該源極/汲極區域在該凹槽中包含一第一部分以及一第二部分在該些介電模板之上,該第二部分比該第一部分更寬;以及修整該第二部分以減少該第二部分的一寬度。
  10. 如請求項9所述之方法,進一步包含形成一介電蝕刻終止層,包含:形成一保形介電層在該半導體鰭片的複數個側壁上; 形成一介電區域接觸該保形介電層的一下部的一側壁;以及移除在該介電區域的一上表面之上的該保形介電層的複數個部分,其中該保形介電層的一剩餘部分低於該介電區域的該上表面,形成該介電蝕刻終止層。
TW104118367A 2014-06-27 2015-06-05 半導體裝置及其形成方法 TWI575739B (zh)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US14/317,069 US9881993B2 (en) 2014-06-27 2014-06-27 Method of forming semiconductor structure with horizontal gate all around structure
US201562115568P 2015-02-12 2015-02-12
US14/675,215 US9608116B2 (en) 2014-06-27 2015-03-31 FINFETs with wrap-around silicide and method forming the same

Publications (2)

Publication Number Publication Date
TW201601311A TW201601311A (zh) 2016-01-01
TWI575739B true TWI575739B (zh) 2017-03-21

Family

ID=56854931

Family Applications (1)

Application Number Title Priority Date Filing Date
TW104118367A TWI575739B (zh) 2014-06-27 2015-06-05 半導體裝置及其形成方法

Country Status (4)

Country Link
US (4) US9608116B2 (zh)
KR (1) KR101709400B1 (zh)
CN (1) CN105895693B (zh)
TW (1) TWI575739B (zh)

Families Citing this family (469)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9281363B2 (en) 2014-04-18 2016-03-08 Taiwan Semiconductor Manufacturing Company Ltd. Circuits using gate-all-around technology
KR102374108B1 (ko) * 2015-06-02 2022-03-14 삼성전자주식회사 스트레서를 갖는 반도체 장치 및 그 제조 방법
US9716142B2 (en) * 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9960273B2 (en) * 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9679965B1 (en) * 2015-12-07 2017-06-13 Samsung Electronics Co., Ltd. Semiconductor device having a gate all around structure and a method for fabricating the same
CN107154355B (zh) * 2016-03-03 2020-04-10 上海新昇半导体科技有限公司 鳍状场效应晶体管及其制备方法
US9893120B2 (en) 2016-04-15 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
KR102429611B1 (ko) * 2016-06-10 2022-08-04 삼성전자주식회사 반도체 장치 제조 방법
US10170378B2 (en) 2016-11-29 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all-around semiconductor device and manufacturing method thereof
US10672824B2 (en) 2016-11-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor
US10522694B2 (en) 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing semiconductor device
EP3339244A1 (en) * 2016-12-21 2018-06-27 IMEC vzw Source and drain contacts in fin- or nanowire- based semiconductor devices.
US10381468B2 (en) 2017-03-21 2019-08-13 International Business Machines Corporation Method and structure for forming improved single electron transistor with gap tunnel barriers
US10170374B2 (en) 2017-03-23 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10804375B2 (en) 2017-03-23 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US11923252B2 (en) 2017-03-23 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for manufacturing the same
US10483380B2 (en) 2017-04-20 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10475908B2 (en) 2017-04-25 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10665569B2 (en) 2017-05-25 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor device and method for fabricating the same
US10269800B2 (en) 2017-05-26 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical gate semiconductor device with steep subthreshold slope
US10727131B2 (en) 2017-06-16 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain epitaxy re-shaping
US10510840B2 (en) 2017-06-20 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. GAA FET with u-shaped channel
US10483267B2 (en) 2017-06-30 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Eight-transistor static random-access memory, layout thereof, and method for manufacturing the same
US10181524B1 (en) 2017-07-14 2019-01-15 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor device and method for fabricating the same
US10134640B1 (en) 2017-07-18 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with semiconductor wire
US10211307B2 (en) 2017-07-18 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing inner spacers in a gate-all-around (GAA) FET through multi-layer spacer replacement
CN109300972A (zh) * 2017-07-24 2019-02-01 中芯国际集成电路制造(天津)有限公司 Finfet器件及其形成方法
CN109390400A (zh) * 2017-08-08 2019-02-26 中芯国际集成电路制造(上海)有限公司 环栅场效应晶体管及其形成方法
US10403550B2 (en) 2017-08-30 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10699956B2 (en) 2017-08-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10374059B2 (en) 2017-08-31 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowires
US10629679B2 (en) 2017-08-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10332985B2 (en) 2017-08-31 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10121870B1 (en) 2017-08-31 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with strain-relaxed buffer
US10297508B2 (en) 2017-08-31 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10290548B2 (en) 2017-08-31 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with semiconductor wire
CN109494190B (zh) * 2017-09-11 2020-07-10 中芯国际集成电路制造(上海)有限公司 一种鳍式场效应半导体的形成方法
US10453752B2 (en) 2017-09-18 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a gate-all-around semiconductor device
US10679988B2 (en) 2017-09-18 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including FinFETS having different channel heights and manufacturing method thereof
US10269914B2 (en) 2017-09-27 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10535736B2 (en) 2017-09-28 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fully strained channel
US10325993B2 (en) 2017-09-28 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device and fabrication thereof
US10297636B2 (en) * 2017-09-28 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating complementary metal-oxide-semiconductor image sensor
US10516032B2 (en) 2017-09-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US10403545B2 (en) 2017-09-28 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Power reduction in finFET structures
US10505021B2 (en) 2017-09-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFet device and method of forming the same
US10497624B2 (en) 2017-09-29 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10804367B2 (en) 2017-09-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate stacks for stack-fin channel I/O devices and nanowire channel core devices
US10483372B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US10269965B1 (en) 2017-10-25 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Multi-gate semiconductor device and method for forming the same
US10672742B2 (en) 2017-10-26 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10868127B2 (en) 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and manufacturing method for the same
US10867866B2 (en) 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10714592B2 (en) 2017-10-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10818777B2 (en) * 2017-10-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11380803B2 (en) 2017-10-30 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10325912B2 (en) 2017-10-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US10535738B2 (en) 2017-10-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method of the same
US10431696B2 (en) 2017-11-08 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowire
US10847622B2 (en) 2017-11-13 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming source/drain structure with first and second epitaxial layers
US10483168B2 (en) 2017-11-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and formation thereof
US10355102B2 (en) 2017-11-15 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10269576B1 (en) 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
US10680106B2 (en) 2017-11-15 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming source/drain epitaxial stacks
US10515948B2 (en) 2017-11-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including vertical routing structure and method for manufacturing the same
US10672613B2 (en) 2017-11-22 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming semiconductor structure and semiconductor device
US10867809B2 (en) 2017-11-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Laser anneal process
US10374038B2 (en) 2017-11-24 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device source/drain region with arsenic-containing barrier region
US10510883B2 (en) 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Asymmetric source and drain structures in semiconductor devices
US10903336B2 (en) 2017-11-28 2021-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US11183423B2 (en) 2017-11-28 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Liner structure in interlayer dielectric structure for semiconductor devices
US11114549B2 (en) 2017-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US10510889B2 (en) 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. P-type strained channel in a fin field effect transistor (FinFET) device
DE102018106581B4 (de) 2017-11-30 2020-07-09 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
US10475929B2 (en) 2017-11-30 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10748774B2 (en) 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10497778B2 (en) 2017-11-30 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10629749B2 (en) 2017-11-30 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating interfacial layer on silicon germanium
US10923595B2 (en) 2017-11-30 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a SiGe epitaxial layer containing Ga
US10700066B2 (en) 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10672889B2 (en) 2017-11-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10516039B2 (en) 2017-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10749007B2 (en) 2018-03-14 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with desired profile for semiconductor devices
US10943822B2 (en) 2018-03-15 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Forming gate line-end of semiconductor structures
US10763363B2 (en) 2018-04-10 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gradient doped region of recessed fin forming a FinFET device
US10854715B2 (en) 2018-04-13 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Supportive layer in source/drains of FinFET devices
US10854714B2 (en) 2018-04-20 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium containing nanowires and methods for forming the same
US10276719B1 (en) 2018-04-30 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10553492B2 (en) * 2018-04-30 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Selective NFET/PFET recess of source/drain regions
US11245005B2 (en) 2018-05-14 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor structure with extended contact structure
US10522622B2 (en) 2018-05-14 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate semiconductor device and method for forming the same
US11398476B2 (en) 2018-05-16 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with hybrid fins
US10756089B2 (en) 2018-05-16 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid semiconductor transistor structure and manufacturing method for the same
US10636878B2 (en) 2018-05-18 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Steep sloped vertical tunnel field-effect transistor
US10825933B2 (en) * 2018-06-11 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and manufacturing method for the same
US10522390B1 (en) 2018-06-21 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench isolation for integrated circuits
US11043556B2 (en) 2018-06-26 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Local epitaxy nanofilms for nanowire stack GAA device
US10651314B2 (en) 2018-06-26 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with inner spacer and methods for producing the same
US10790391B2 (en) 2018-06-27 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial layer profile
US11011623B2 (en) 2018-06-29 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for increasing germanium concentration of FIN and resulting semiconductor device
US10672899B2 (en) 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Tunnel field-effect transistor with reduced trap-assisted tunneling leakage
US11031239B2 (en) 2018-06-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium nanosheets and methods of forming the same
US11437497B2 (en) 2018-06-29 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10861750B2 (en) 2018-07-02 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10872825B2 (en) 2018-07-02 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11114566B2 (en) 2018-07-12 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10748808B2 (en) * 2018-07-16 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric gap-filling process for semiconductor device
US10700180B2 (en) 2018-07-27 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US11296236B2 (en) 2018-07-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10672879B2 (en) 2018-07-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming FinFET and gate-all-around FET with selective high-K oxide deposition
US10707333B2 (en) 2018-07-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10784278B2 (en) 2018-07-30 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method thereof
US10879394B2 (en) 2018-07-31 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US11038043B2 (en) 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11227918B2 (en) 2018-07-31 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Melt anneal source and drain regions
US10886182B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11114303B2 (en) 2018-07-31 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device, method for manufacturing FinFET device, and method for manufacturing gate all around device
US11211479B2 (en) 2018-08-14 2021-12-28 Taiwan Semiconductor Manufaciuring Co., Ltd. Method of fabricating trimmed fin and fin structure
US10763255B2 (en) 2018-08-14 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11018226B2 (en) 2018-08-14 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11362001B2 (en) 2018-08-14 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing nanostructures with various widths
CN110828541B (zh) * 2018-08-14 2023-05-16 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
US10720503B2 (en) 2018-08-14 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device
US10522623B1 (en) 2018-08-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium nitride layers on semiconductor structures, and methods for forming the same
US11024550B2 (en) 2018-08-16 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11152491B2 (en) 2018-08-23 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with inner spacer layer
US11264380B2 (en) 2018-08-27 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US11043578B2 (en) 2018-08-30 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with inner spacer
US10867862B2 (en) 2018-08-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor method and device
US10886269B2 (en) 2018-09-18 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11018012B2 (en) 2018-09-21 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures with deposited silicide layers
US11245011B2 (en) 2018-09-25 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical tunnel field-effect transistor with U-shaped gate and band aligner
US11038036B2 (en) 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack GAA device
US11626507B2 (en) 2018-09-26 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing FinFETs having barrier layers with specified SiGe doping concentration
US10840152B2 (en) 2018-09-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10923659B2 (en) 2018-09-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Wafers for use in aligning nanotubes and methods of making and using the same
US10991630B2 (en) 2018-09-27 2021-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11024729B2 (en) 2018-09-27 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd Method for manufacturing semiconductor device
US10879355B2 (en) 2018-09-27 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Profile design for improved device performance
US11094826B2 (en) 2018-09-27 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US11069812B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US10872805B2 (en) 2018-09-28 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10950725B2 (en) 2018-09-28 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain structure and method of forming same
US10680075B2 (en) 2018-09-28 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain epitaxial layer having facets and manufacturing method thereof
US10867861B2 (en) 2018-09-28 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11264237B2 (en) 2018-09-28 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of epitaxy and semiconductor device
US11482522B2 (en) 2018-10-08 2022-10-25 Samsung Electronics Co., Ltd. Semiconductor devices including a narrow active pattern
US10872906B2 (en) 2018-10-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10727134B2 (en) 2018-10-30 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices with gate-all-around structure
US10868114B2 (en) 2018-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures of semiconductor devices
US11087987B2 (en) 2018-10-31 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11335604B2 (en) * 2018-10-31 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11038029B2 (en) 2018-11-08 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10840345B2 (en) 2018-11-13 2020-11-17 International Business Machines Corporation Source and drain contact cut last process to enable wrap-around-contact
US11296077B2 (en) 2018-11-19 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with recessed silicon cap and method forming same
US11257928B2 (en) 2018-11-27 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial growth and device
US11276832B2 (en) 2018-11-28 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with diffusion break and method
US11101360B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11387362B2 (en) 2018-11-30 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
DE102019115490B4 (de) 2018-11-30 2022-10-20 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-bauelement und verfahren zu dessen herstellung
US11164944B2 (en) 2018-11-30 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US10720431B1 (en) 2019-01-25 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate-all-around structure with oxygen blocking layers
US11088150B2 (en) 2019-01-28 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11685015B2 (en) 2019-01-28 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for performing chemical mechanical polishing
US11211381B2 (en) 2019-01-29 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10825918B2 (en) 2019-01-29 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11164866B2 (en) 2019-02-20 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for manufacturing the same
US10825919B2 (en) 2019-02-21 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate-all-around structure with inner spacer last process
US11217526B2 (en) 2019-02-28 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source resistor and manufacturing method thereof
US11164796B2 (en) 2019-03-14 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US10867867B2 (en) 2019-03-14 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices with mixed threshold voltages boundary isolation of multiple gates and structures formed thereby
US11621325B2 (en) * 2019-03-28 2023-04-04 Intel Corporation Source or drain structures with low resistivity
US11201060B2 (en) 2019-04-17 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11038058B2 (en) 2019-04-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11088034B2 (en) 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11183574B2 (en) 2019-05-24 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Work function layers for transistor gate electrodes
KR20200136688A (ko) 2019-05-28 2020-12-08 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11069578B2 (en) 2019-05-31 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
KR20200139295A (ko) * 2019-06-03 2020-12-14 삼성전자주식회사 반도체 장치
US11107923B2 (en) 2019-06-14 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions of FinFET devices and methods of forming same
US11004725B2 (en) 2019-06-14 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device with gaps in the source/drain region
US11056573B2 (en) 2019-06-14 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Implantation and annealing for semiconductor device
US11682665B2 (en) 2019-06-19 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor layout with different row heights
US11244871B2 (en) 2019-06-27 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices for tightening spacing between nanosheets in GAA structures and structures formed thereby
US11373870B2 (en) * 2019-06-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device including performing thermal treatment on germanium layer
US10879469B1 (en) 2019-06-28 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using nanotube structures and a field effect transistor
US11049774B2 (en) 2019-07-18 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid source drain regions formed based on same Fin and methods forming same
US10985266B2 (en) 2019-08-20 2021-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of gap filling for semiconductor device
US11456368B2 (en) 2019-08-22 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with hard mask layer over fin structure and method for forming the same
US11245029B2 (en) * 2019-08-22 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11114345B2 (en) 2019-08-22 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. IC including standard cells and SRAM cells
US10985265B2 (en) 2019-08-22 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US11101180B2 (en) 2019-08-23 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11011372B2 (en) 2019-08-23 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture
US10978344B2 (en) 2019-08-23 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Melting laser anneal of epitaxy regions
US11133416B2 (en) 2019-08-23 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor devices having plural epitaxial layers
US11315936B2 (en) 2019-08-29 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
US11239368B2 (en) 2019-08-30 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11355363B2 (en) 2019-08-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11462614B2 (en) 2019-08-30 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11367782B2 (en) 2019-08-30 2022-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor manufacturing
US11201243B2 (en) 2019-09-03 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device and methods for producing the same
US11107836B2 (en) 2019-09-16 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11430891B2 (en) 2019-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around structure with additional silicon layer and method for forming the same
US11114547B2 (en) 2019-09-17 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor with negative capacitance dieletric structures
US11088249B2 (en) 2019-09-17 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with implant and method of manufacturing same
US11222948B2 (en) 2019-09-27 2022-01-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of fabricating the semiconductor structure
US11121037B2 (en) 2019-09-27 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11417653B2 (en) 2019-09-30 2022-08-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11088251B2 (en) 2019-10-01 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain contacts for semiconductor devices and methods of forming
US11127832B2 (en) 2019-10-01 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11296227B2 (en) 2019-10-16 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11502197B2 (en) 2019-10-18 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain epitaxial layers
US11522085B2 (en) 2019-10-18 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric semiconductor device and method
US11233130B2 (en) 2019-10-25 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11322495B2 (en) 2019-10-28 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal-oxide-semiconductor device and method of manufacturing the same
US11658245B2 (en) 2019-10-29 2023-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing
US11450754B2 (en) 2019-10-29 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture
US10977409B1 (en) 2019-10-29 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method of generating a layout for a semiconductor device
US11355605B2 (en) 2019-10-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11322619B2 (en) 2019-10-30 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11515212B2 (en) 2019-10-30 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices having controlled S/D epitaxial shape
US11264327B2 (en) 2019-10-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Backside power rail structure and methods of forming same
US11621195B2 (en) 2019-10-30 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
DE102020114813A1 (de) 2019-10-31 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur und bildungsverfahren für halbleitervorrichtung mit isolierungsstruktur
US11374090B2 (en) 2019-10-31 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11756997B2 (en) 2019-10-31 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same
US11631770B2 (en) 2019-10-31 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with stressor
US11201225B2 (en) 2019-10-31 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with stressor
US11424242B2 (en) 2019-10-31 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with isolation structure
KR102284479B1 (ko) * 2019-10-31 2021-08-03 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 스트레서를 갖는 반도체 디바이스의 구조체 및 형성 방법
US10964792B1 (en) 2019-11-22 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal capped via contact structures for semiconductor devices
US11081401B2 (en) 2019-11-29 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US11545490B2 (en) 2019-12-17 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11133221B2 (en) 2019-12-17 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with gate electrode layer
US11664420B2 (en) 2019-12-26 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11444200B2 (en) 2019-12-26 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with isolating feature and method for forming the same
US11362096B2 (en) 2019-12-27 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11282944B2 (en) 2019-12-30 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11393925B2 (en) 2019-12-31 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with nanostructure
US11107886B2 (en) 2020-01-10 2021-08-31 Taiwan Semiconductor Manufacturing Company Ltd. Memory device and method of fabricating the memory device
US11233156B2 (en) 2020-01-15 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method thereof
US11855619B2 (en) 2020-01-15 2023-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Power switch circuit, IC structure of power switch circuit, and method of forming IC structure
US11139379B2 (en) 2020-01-16 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11183584B2 (en) 2020-01-17 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11309419B2 (en) 2020-01-17 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11444202B2 (en) 2020-01-17 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11322603B2 (en) 2020-01-21 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Anti-punch-through doping on source/drain region
US11251268B2 (en) 2020-01-28 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with doped structure
US11417764B2 (en) 2020-01-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interface profile control in epitaxial structures for semiconductor devices
US11521969B2 (en) 2020-01-29 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures for semiconductor devices
US11245028B2 (en) 2020-01-30 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures of semiconductor devices
US11522050B2 (en) 2020-01-30 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
DE102020119963A1 (de) 2020-01-30 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11444177B2 (en) 2020-01-30 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11444197B2 (en) 2020-02-07 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11705372B2 (en) 2020-02-11 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin loss prevention
US11158741B2 (en) 2020-02-11 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Nanostructure device and method
US11177180B2 (en) * 2020-02-11 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Profile control of a gap fill structure
US11335776B2 (en) 2020-02-11 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid channel semiconductor device and method
US11854688B2 (en) 2020-02-19 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11211472B2 (en) 2020-02-24 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US11329165B2 (en) 2020-02-26 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with isolation structure
US11393898B2 (en) 2020-02-27 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11316046B2 (en) 2020-02-27 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11495682B2 (en) 2020-02-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11264502B2 (en) 2020-02-27 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11233119B2 (en) 2020-03-02 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Core-shell nanostructures for semiconductor devices
US11233149B2 (en) 2020-03-03 2022-01-25 Taiwan Semiconductor Manufacturing Co., . Ltd. Spacer structures for semiconductor devices
US11695055B2 (en) 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
US11677013B2 (en) 2020-03-30 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial layers for transistors
US11107736B1 (en) 2020-03-31 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11139432B1 (en) 2020-04-01 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming a FinFET device
US11189697B2 (en) 2020-04-01 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra-thin fin structure and method of fabricating the same
US11257911B2 (en) 2020-04-01 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Sacrificial layer for semiconductor process
US11443979B2 (en) 2020-04-01 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11417751B2 (en) 2020-04-01 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11387365B2 (en) 2020-04-01 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device for recessed fin structure having rounded corners
US11495661B2 (en) 2020-04-07 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including gate barrier layer
CN113053878A (zh) 2020-04-09 2021-06-29 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11309424B2 (en) 2020-04-13 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11335552B2 (en) 2020-04-17 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with oxide semiconductor channel
US11251308B2 (en) 2020-04-28 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
TWI741935B (zh) 2020-04-28 2021-10-01 台灣積體電路製造股份有限公司 半導體元件與其製作方法
US11410930B2 (en) 2020-04-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11342326B2 (en) 2020-04-28 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned etch in semiconductor devices
US11955370B2 (en) 2020-04-28 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11349004B2 (en) 2020-04-28 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Backside vias in semiconductor device
US11355410B2 (en) 2020-04-28 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal dissipation in semiconductor devices
US11239208B2 (en) 2020-05-12 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor devices including backside power rails and methods of forming the same
US11450600B2 (en) 2020-05-12 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including decoupling capacitors
DE102020122823B4 (de) 2020-05-12 2022-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtungen mit entkopplungskondensatoren
US11393924B2 (en) 2020-05-18 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with high contact area
US11217629B2 (en) 2020-05-19 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
DE102020127567A1 (de) 2020-05-20 2021-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren zu ihrer herstellung
US11437492B2 (en) 2020-05-20 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11282843B2 (en) 2020-05-22 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device, SRAM cell, and manufacturing method thereof
US11295989B2 (en) 2020-05-26 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11637101B2 (en) 2020-05-26 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
TWI762196B (zh) 2020-05-26 2022-04-21 台灣積體電路製造股份有限公司 半導體裝置與其製造方法
US11417767B2 (en) 2020-05-27 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including backside vias and methods of forming the same
US11532703B2 (en) 2020-05-27 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11380768B2 (en) 2020-05-28 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11682711B2 (en) 2020-05-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having multi-layered gate spacers
US11532731B2 (en) 2020-05-28 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11600528B2 (en) 2020-05-28 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11862561B2 (en) 2020-05-28 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside routing and method of forming same
US11444198B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Work function control in gate structures
US11264283B2 (en) 2020-05-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-channel devices and methods of manufacture
US11935793B2 (en) 2020-05-29 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual dopant source/drain regions and methods of forming same
US11664374B2 (en) 2020-05-29 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Backside interconnect structures for semiconductor devices and methods of forming the same
US11715777B2 (en) 2020-05-29 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11723209B2 (en) 2020-05-29 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory device and manufacturing method thereof
CN113299648A (zh) 2020-06-05 2021-08-24 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11699735B2 (en) 2020-06-05 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11424347B2 (en) 2020-06-11 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11417777B2 (en) 2020-06-11 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Enlargement of GAA nanostructure
US11271113B2 (en) 2020-06-12 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11417571B2 (en) 2020-06-12 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dopant profile control in gate structures for semiconductor devices
US11296095B2 (en) 2020-06-12 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for forming the same
US11296080B2 (en) 2020-06-15 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain regions of semiconductor devices and methods of forming the same
US11342334B2 (en) 2020-06-15 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cell and method
US11367784B2 (en) 2020-06-15 2022-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11563006B2 (en) 2020-06-24 2023-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing thereof
US11527630B2 (en) 2020-06-24 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US11728171B2 (en) 2020-06-25 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with metal gate fill structure
US11532714B2 (en) 2020-06-25 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming thereof
US11699736B2 (en) 2020-06-25 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11387233B2 (en) 2020-06-29 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11489075B2 (en) 2020-06-29 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11462549B2 (en) 2020-06-30 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of fabricating the same
US11848238B2 (en) 2020-06-30 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for manufacturing semiconductor devices with tunable low-k inner air spacers
US11264513B2 (en) 2020-06-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures for transistors
US11729967B2 (en) 2020-07-08 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitor, memory device, and method
US11903189B2 (en) 2020-07-09 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory and fabricating method thereof
US11437371B2 (en) 2020-07-10 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with negative capacitance layers
US11855185B2 (en) 2020-07-16 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multilayer masking layer and method of forming same
US11195930B1 (en) 2020-07-22 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof
US11804531B2 (en) 2020-07-23 2023-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film transfer using substrate with etch stop layer and diffusion barrier layer
US11329163B2 (en) 2020-07-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11903213B2 (en) 2020-07-29 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for making same
US11417745B2 (en) 2020-07-30 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11557510B2 (en) 2020-07-30 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including backside power rails
US11245023B1 (en) 2020-07-31 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11456209B2 (en) 2020-07-31 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including a backside power rails
US11217494B1 (en) 2020-07-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11444199B2 (en) 2020-08-03 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11335806B2 (en) 2020-08-11 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11557518B2 (en) 2020-08-12 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Gapfill structure and manufacturing methods thereof
US11610890B2 (en) 2020-08-13 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxy regions extending below STI regions and profiles thereof
US11653581B2 (en) 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM device structure and manufacturing method
US11974441B2 (en) 2020-08-13 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array including epitaxial source lines and bit lines
US11315834B2 (en) 2020-08-13 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFETs with epitaxy regions having mixed wavy and non-wavy portions
US11610979B2 (en) 2020-08-13 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Profile control in forming epitaxy regions for transistors
US11437474B2 (en) 2020-08-17 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistors and method of forming same
US11757021B2 (en) 2020-08-18 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with fin-top hard mask and methods for fabrication thereof
US11335606B2 (en) 2020-08-19 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Power rails for stacked semiconductor device
US11532607B2 (en) 2020-08-19 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. ESD structure and semiconductor structure
US11508621B2 (en) 2020-08-21 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11676864B2 (en) 2020-08-27 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11302693B2 (en) 2020-08-31 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11469326B2 (en) 2020-09-18 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of fabrication thereof
US11450569B2 (en) 2020-09-18 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
US11355398B2 (en) 2020-09-21 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11569234B2 (en) 2020-09-21 2023-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
CN112201623A (zh) * 2020-09-21 2021-01-08 上海华力集成电路制造有限公司 FinFET中接触插塞的形成工艺
US11502034B2 (en) 2020-09-21 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof
US11387322B2 (en) 2020-09-21 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11551969B2 (en) 2020-09-23 2023-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with backside interconnection structure having air gap
US11721593B2 (en) 2020-09-30 2023-08-08 Tiawan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial structures for semiconductor devices
US11728173B2 (en) 2020-09-30 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Masking layer with post treatment
US11626485B2 (en) 2020-10-14 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and method
US11594610B2 (en) 2020-10-15 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11737254B2 (en) 2020-10-21 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and layout, manufacturing method of the same
US11450743B2 (en) 2020-10-21 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device with implantation of impurities at high temperature
US11765892B2 (en) 2020-10-21 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory device and method of manufacture
US11502201B2 (en) 2020-10-27 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with backside power rail and methods of fabrication thereof
US11688807B2 (en) 2020-10-27 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and methods of forming
US11495463B2 (en) 2020-10-27 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11276604B1 (en) 2020-10-27 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Radical-activated etching of metal oxides
US11569223B2 (en) 2020-10-30 2023-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and method for fabricating the same
CN114284267A (zh) 2020-11-13 2022-04-05 台湾积体电路制造股份有限公司 集成电路及其制造方法
US11854831B2 (en) 2020-11-24 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Cleaning process for source/drain epitaxial structures
US11508807B2 (en) 2020-11-25 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11450663B2 (en) 2020-11-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11450664B2 (en) 2020-11-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11450666B2 (en) 2020-11-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including two-dimensional material and methods of fabrication thereof
US11777014B2 (en) 2021-01-04 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Controlled doping in a gate dielectric layer
US11594455B2 (en) 2021-01-05 2023-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method for the same
US11948939B2 (en) 2021-01-13 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd Profile control of gate structures in semiconductor devices
US11502081B2 (en) 2021-01-14 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11749566B2 (en) 2021-01-15 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Inner filler layer for multi-patterned metal gate for nanostructure transistor
US11411079B1 (en) 2021-01-21 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11688786B2 (en) 2021-01-22 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11588018B2 (en) 2021-01-28 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with nanostructure and method for forming the same
US11637180B2 (en) 2021-01-28 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
US11810961B2 (en) 2021-01-28 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
US11715762B2 (en) 2021-01-28 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
US11600703B2 (en) 2021-01-29 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium tin gate-all-around device
US11581410B2 (en) 2021-02-12 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11563109B2 (en) 2021-02-19 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11640941B2 (en) 2021-02-25 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including metal gate protection and methods of fabrication thereof
US11688767B2 (en) 2021-02-25 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11652140B2 (en) 2021-02-25 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11569348B2 (en) 2021-02-26 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of fabrication thereof
US11848209B2 (en) 2021-02-26 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning semiconductor devices and structures resulting therefrom
US11735483B2 (en) 2021-02-26 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming epitaxial source/drain features using a self-aligned mask and semiconductor devices fabricated thereof
US11532628B2 (en) 2021-02-26 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11887985B2 (en) 2021-03-04 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11387109B1 (en) 2021-03-05 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. CMP process and methods thereof
US11527614B2 (en) 2021-03-09 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with conductive structure and method for manufacturing the same
US11810948B2 (en) 2021-03-10 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11581437B2 (en) 2021-03-11 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11532725B2 (en) 2021-03-11 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming sidewall spacers and semiconductor devices fabricated thereof
US11942358B2 (en) 2021-03-12 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low thermal budget dielectric for semiconductor devices
US11830912B2 (en) 2021-03-18 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11862700B2 (en) 2021-03-19 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure including forksheet transistors and methods of forming the same
US11575026B2 (en) 2021-03-19 2023-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure for semiconductor device
US11978773B2 (en) 2021-03-25 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Formation method of semiconductor device structure with semiconductor nanostructures
US11894460B2 (en) 2021-03-30 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11843032B2 (en) 2021-03-30 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with channel and method for forming the same
US11515393B2 (en) 2021-03-31 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11961884B2 (en) 2021-03-31 2024-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fill structures with air gaps
US11600534B2 (en) 2021-03-31 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structures and method of forming
US11664378B2 (en) 2021-04-08 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11688793B2 (en) 2021-04-08 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and manufacturing method thereof
US11942556B2 (en) 2021-04-08 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11545559B2 (en) 2021-04-14 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11742353B2 (en) 2021-04-14 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11855092B2 (en) 2021-04-16 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming same
US11929287B2 (en) 2021-04-23 2024-03-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric liner for field effect transistors
US11710774B2 (en) 2021-04-23 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming epitaxial source/drain features and semiconductor devices fabricated thereof
US11915937B2 (en) 2021-04-29 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fluorine incorporation method for nanosheet
US11869892B2 (en) 2021-04-29 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11855079B2 (en) 2021-04-30 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with backside trench for metal gate definition
US11978674B2 (en) 2021-05-05 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11476342B1 (en) 2021-05-05 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with improved source and drain contact area and methods of fabrication thereof
US11942478B2 (en) 2021-05-06 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11742416B2 (en) 2021-05-27 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US11757018B2 (en) 2021-05-27 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Formation method of semiconductor device with gate all around structure
US11869954B2 (en) 2021-05-28 2024-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Nanostructured channel regions for semiconductor devices
US11901415B2 (en) 2021-05-28 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor isolation structures
US11764277B2 (en) * 2021-06-04 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US11916151B2 (en) 2021-06-25 2024-02-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having fin with all around gate
US11855167B2 (en) 2021-07-08 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with nanosheet structure
US11916122B2 (en) 2021-07-08 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around transistor with dual inner spacers
US11626400B2 (en) 2021-07-16 2023-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure incorporating air gap
US11935954B2 (en) 2021-07-30 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11948843B2 (en) 2021-08-06 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming hardmask formation by hybrid materials in semiconductor device
US11908921B2 (en) 2021-08-26 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor isolation structures
US11855078B2 (en) 2021-08-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure including forksheet transistors and methods of forming the same
US11756995B2 (en) 2021-08-27 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device structure having an isolation layer to isolate a conductive feature and a gate electrode layer
US11901364B2 (en) 2021-08-27 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11804532B2 (en) 2021-08-27 2023-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices with superlattice channel
US11810824B2 (en) 2021-08-30 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11688625B2 (en) 2021-08-30 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
US11920254B2 (en) 2021-08-30 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Detection of contact formation between a substrate and contact pins in an electroplating system
US11710781B2 (en) 2021-08-30 2023-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Growth process and methods thereof
US11901412B2 (en) 2021-08-30 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Facet-free epitaxial structures for semiconductor devices
US11908893B2 (en) 2021-08-30 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11784225B2 (en) 2021-08-30 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, method of forming stacked unit layers and method of forming stacked two-dimensional material layers
US11942533B2 (en) 2021-08-31 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Channel structures for semiconductor devices
US11749570B2 (en) 2021-08-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch monitoring and performing
US11901410B2 (en) 2021-08-31 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11948840B2 (en) 2021-08-31 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Protective layer over FinFET and method of forming same
US11955384B2 (en) 2022-02-17 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor device with nanostructure channels and manufacturing method thereof

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200807567A (en) * 2005-11-10 2008-02-01 Atmel Corp Electronic device and method for forming the same
CN101661934A (zh) * 2008-08-28 2010-03-03 台湾积体电路制造股份有限公司 与本位晶体管相兼容的鳍式场效晶体管程序
CN102194756A (zh) * 2010-03-17 2011-09-21 台湾积体电路制造股份有限公司 鳍式场效晶体管及其制法

Family Cites Families (40)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100481209B1 (ko) * 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US7898040B2 (en) * 2007-06-18 2011-03-01 Infineon Technologies Ag Dual gate FinFET
US7485520B2 (en) * 2007-07-05 2009-02-03 International Business Machines Corporation Method of manufacturing a body-contacted finfet
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) * 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US8859389B2 (en) * 2011-01-28 2014-10-14 Kabushiki Kaisha Toshiba Methods of making fins and fin field effect transistors (FinFETs)
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
JP2013045901A (ja) 2011-08-24 2013-03-04 Toshiba Corp 半導体装置および半導体装置の製造方法
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
WO2013080378A1 (ja) * 2011-12-02 2013-06-06 ユニサンティス エレクトロニクス シンガポール プライベート リミテッド 半導体装置の製造方法と半導体装置
CN104126222B (zh) 2011-12-23 2017-06-13 英特尔公司 单轴应变纳米线结构
US9012284B2 (en) 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US9281378B2 (en) * 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8703556B2 (en) 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
CN103730366B (zh) 2012-10-16 2018-07-31 中国科学院微电子研究所 堆叠纳米线mos晶体管制作方法
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9443962B2 (en) * 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US9349837B2 (en) * 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9299810B2 (en) 2013-07-05 2016-03-29 Taiwan Semiconductor Manufacturing Company Limited Fin-type field effect transistor and method of fabricating the same
US9245882B2 (en) * 2013-09-27 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with gradient germanium-containing channels
FR3016237B1 (fr) * 2014-01-07 2017-06-09 Commissariat Energie Atomique Dispositif a nanofils de semi-conducteur partiellement entoures par une grille
US9406804B2 (en) * 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9502518B2 (en) 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9356047B2 (en) * 2014-08-18 2016-05-31 Globalfoundries Inc. Integrated circuits with self aligned contact structures for improved windows and fabrication methods
US9397197B1 (en) * 2015-09-23 2016-07-19 International Business Machines Corporation Forming wrap-around silicide contact on finFET

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW200807567A (en) * 2005-11-10 2008-02-01 Atmel Corp Electronic device and method for forming the same
CN101661934A (zh) * 2008-08-28 2010-03-03 台湾积体电路制造股份有限公司 与本位晶体管相兼容的鳍式场效晶体管程序
CN102194756A (zh) * 2010-03-17 2011-09-21 台湾积体电路制造股份有限公司 鳍式场效晶体管及其制法

Also Published As

Publication number Publication date
US11211498B2 (en) 2021-12-28
US9608116B2 (en) 2017-03-28
US9991388B2 (en) 2018-06-05
KR101709400B1 (ko) 2017-02-22
US20180040738A1 (en) 2018-02-08
US20170179301A1 (en) 2017-06-22
CN105895693A (zh) 2016-08-24
KR20160099447A (ko) 2016-08-22
US10355137B2 (en) 2019-07-16
TW201601311A (zh) 2016-01-01
CN105895693B (zh) 2019-07-16
US20190355850A1 (en) 2019-11-21
US20160240652A1 (en) 2016-08-18

Similar Documents

Publication Publication Date Title
TWI575739B (zh) 半導體裝置及其形成方法
TWI578525B (zh) 半導體裝置及其形成方法
US10868186B2 (en) FinFETs with source/drain cladding
TWI495106B (zh) 鰭式場效電晶體及其製造方法
US10777664B2 (en) Epitaxy source/drain regions of FinFETs and method forming same
US11171003B2 (en) Doping through diffusion and epitaxy profile shaping
KR101908784B1 (ko) 2 스텝 더미 게이트 형성
TW201643946A (zh) 用於finfet的環繞矽化物
US9741821B1 (en) Two-step dummy gate formation