KR101709400B1 - 랩어라운드 실리사이드를 구비한 finfet 및 그 형성 방법 - Google Patents

랩어라운드 실리사이드를 구비한 finfet 및 그 형성 방법 Download PDF

Info

Publication number
KR101709400B1
KR101709400B1 KR1020150085680A KR20150085680A KR101709400B1 KR 101709400 B1 KR101709400 B1 KR 101709400B1 KR 1020150085680 A KR1020150085680 A KR 1020150085680A KR 20150085680 A KR20150085680 A KR 20150085680A KR 101709400 B1 KR101709400 B1 KR 101709400B1
Authority
KR
South Korea
Prior art keywords
source
region
dielectric
layer
silicon
Prior art date
Application number
KR1020150085680A
Other languages
English (en)
Other versions
KR20160099447A (ko
Inventor
궈 쳉 칭
칭웨이 차이
치웬 리우
치하오 왕
잉쿵 렁
Original Assignee
타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 filed Critical 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드
Publication of KR20160099447A publication Critical patent/KR20160099447A/ko
Application granted granted Critical
Publication of KR101709400B1 publication Critical patent/KR101709400B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823412MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823418MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823437MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/823481MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type isolation region manufacturing related aspects, e.g. to avoid interaction of isolation region with adjacent structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0657Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body
    • H01L29/0665Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by the shape of the body the shape of the body defining a nanostructure
    • H01L29/0669Nanowires or nanotubes
    • H01L29/0673Nanowires or nanotubes oriented parallel to a substrate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41708Emitter or collector electrodes for bipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/417Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions carrying the current to be rectified, amplified or switched
    • H01L29/41725Source or drain electrodes for field effect devices
    • H01L29/41791Source or drain electrodes for field effect devices for transistors with a horizontal current flow in a vertical sidewall, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/40Electrodes ; Multistep manufacturing processes therefor
    • H01L29/41Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions
    • H01L29/423Electrodes ; Multistep manufacturing processes therefor characterised by their shape, relative sizes or dispositions not carrying the current to be rectified, amplified or switched
    • H01L29/42312Gate electrodes for field effect devices
    • H01L29/42316Gate electrodes for field effect devices for field-effect transistors
    • H01L29/4232Gate electrodes for field effect devices for field-effect transistors with insulated gate
    • H01L29/42384Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor
    • H01L29/42392Gate electrodes for field effect devices for field-effect transistors with insulated gate for thin film field effect transistors, e.g. characterised by the thickness or the shape of the insulator or the dimensions, the shape or the lay-out of the conductor fully surrounding the channel, e.g. gate-all-around
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66439Unipolar field-effect transistors with a one- or zero-dimensional channel, e.g. quantum wire FET, in-plane gate transistor [IPG], single electron transistor [SET], striped channel transistor, Coulomb blockade transistor
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66545Unipolar field-effect transistors with an insulated gate, i.e. MISFET using a dummy, i.e. replacement gate in a process wherein at least a part of the final gate is self aligned to the dummy gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66742Thin film unipolar transistors
    • H01L29/66772Monocristalline silicon transistors on insulating substrates, e.g. quartz substrates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66787Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel
    • H01L29/66795Unipolar field-effect transistors with an insulated gate, i.e. MISFET with a gate at the side of the channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/775Field effect transistors with one dimensional charge carrier gas channel, e.g. quantum wire FET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • BPERFORMING OPERATIONS; TRANSPORTING
    • B82NANOTECHNOLOGY
    • B82YSPECIFIC USES OR APPLICATIONS OF NANOSTRUCTURES; MEASUREMENT OR ANALYSIS OF NANOSTRUCTURES; MANUFACTURE OR TREATMENT OF NANOSTRUCTURES
    • B82Y10/00Nanotechnology for information processing, storage or transmission, e.g. quantum computing or single electron logic
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/785Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET
    • H01L2029/7858Field effect transistors with field effect produced by an insulated gate having a channel with a horizontal current flow in a vertical sidewall of a semiconductor body, e.g. FinFET, MuGFET having contacts specially adapted to the FinFET geometry, e.g. wrap-around contacts
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/665Unipolar field-effect transistors with an insulated gate, i.e. MISFET using self aligned silicidation, i.e. salicide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L2924/00Indexing scheme for arrangements or methods for connecting or disconnecting semiconductor or solid-state bodies as covered by H01L24/00
    • H01L2924/10Details of semiconductor or other solid state devices to be connected
    • H01L2924/11Device type
    • H01L2924/13Discrete devices, e.g. 3 terminal devices
    • H01L2924/1304Transistor
    • H01L2924/1306Field-effect transistor [FET]
    • H01L2924/13067FinFET, source/drain region shapes fins on the silicon surface

Landscapes

  • Engineering & Computer Science (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Nanotechnology (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Materials Engineering (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Thin Film Transistor (AREA)
  • Plasma & Fusion (AREA)

Abstract

디바이스는 반도체 기판 내로 연장되는 절연 영역을 포함하고, 상기 절연 영역의 대향하는 부분들 사이의 기판 스트립은 제1 폭을 갖는다. 소스/드레인 영역은 기판 스트립을 덮는 일부를 구비하며, 상기 소스/드레인 영역의 상위 부분은 제1 폭보다 큰 제2 폭을 갖는다. 소스/드레인 영역의 상위 부분은 실질적으로 수직 측벽을 갖는다. 소스/드레인 실리사이드 영역은 상기 소스/드레인 영역의 수직 측벽과 접촉하는 내측벽을 갖는다.

Description

랩어라운드 실리사이드를 구비한 FINFET 및 그 형성 방법{FINFETS WITH WRAP-AROUND SILICIDE AND METHOD FORMING THE SAME}
<우선권 주장>
본 출원은 2015년 2월 12일 출원한 미국 가출원 번호 62/115,568[발명의 명칭: FINFETs with Wrap-Around Silicide and Method Forming the Same]에 대해 우선권을 주장하며, 이 출원은 여기에서의 인용에 의해 참조로 본 명세서에 포함된다.
<교차 참조>
본 출원은 다음의 동일 출원인에 의한 미국 특허 출원: 2014년 6월 27일에 출원한 출원 일련 번호 14/317,069[발명의 명칭: Method of Forming Semiconductor Structure with Horizontal Gate All Around Structure]에 관한 것이며, 이 출원은 여기에서의 이용에 의해 참조로 본 명세서에 포함된다.
<배경>
집적 회로(IC) 재료 및 설계에 있어서의 기술적 진보는 여러 세대의 IC를 생산하고 있는데, 각 세대는 이전 세대보다 더 작고 더 복잡한 회로를 갖는다. IC 진화의 과정에 있어서, 기능적 밀도(예, 칩면적당 상호접속된 디바이스의 수)는 일반적으로 증가하고 기하학적 사이즈는 저하하고 있다. 이 스케일 축소 과정은 일반적으로, 생산 효율을 높이고 연관 비용을 낮춤으로써 혜택을 제공한다.
이러한 스케일 축소는 또한 IC의 처리 및 제조 복잡성을 상승시키며, 이러한 진보가 달성되도록 IC 처리 및 제조에서도 유사한 개발이 필요하다. 예를 들어, 평면 트랜지스터를 대신하여 FinFET(Fin Field-Effect Transistor)가 도입되고 있다. FinFET의 구조 및 FinFET의 제조 방법은 개발중이다.
본 개시의 양태들은 첨부 도면을 참조한 이하의 상세한 설명으로부터 가장 잘 이해된다. 해당 산업계의 표준적 실무에 따라, 다양한 특징부를 실척으로 도시하지는 않는다. 사실상, 다양한 특징부의 치수는 설명의 편의상 임의대로 확대 또는 축소될 수 있다.
도 1 내지 도 21d는 일부 예시적인 실시형태에 따른 FinFET(Fin Field-Effect Transistor)의 형성에 있어서 중간 스테이지의 단면도 및 사시도이다.
도 22는 일부 실시형태에 따른 FinFET을 형성하는 공정 흐름도이다.
도 23a, 도 23b 및 도 23c는 일부 실시형태에 따른 FinFET의 채널 영역 및 게이트 스택의 단면도이다.
도 24 내지 도 40c는 일부 예시적인 실시형태에 따른 FinFET의 형성에 관한 단면도, 평면도 및 사시도이다.
도 41은 일부 실시형태에 따른 FinFET을 형성하는 공정 흐름도이다.
이하의 개시내용은 본 발명의 상이한 특징을 구현하기 위해 다수의 상이한 실시형태 또는 실시예를 제공한다. 본 개시를 단순화하기 위해 구성요소 및 구성의 특정 실시예에 대해 후술한다. 물론 이들은 예시일뿐이며, 한정되는 것을 목적으로 하지 않는다. 예를 들어, 이어지는 설명에 있어서 제2 특징부 위(over) 또는 상(on)의 제1 특징부의 형성은 제1 및 제2 특징부가 직접 접촉으로 형성되는 실시형태를 포함할 수도 있고, 제1 및 제2 특징부가 직접 접촉하지 않도록 제1 및 제2 특징부 사이에 추가 특징부가 형성될 수 있는 실시형태도 또한 포함할 수 있다. 또한, 본 개시는 다양한 실시예에서 참조 번호 및/또는 문자를 반복할 수 있다. 이 반복은 단순화 및 명확화를 위한 것이며, 그 자체가 설명하는 다양한 실시형태 및/또는 구성 간의 관계를 지시하지 않는다.
또한, "아래에 있는(underlying)", "밑(below)", "하위(lower)", "위(above)", "상위(upper)" 등의 공간 관련 용어는 도면에 나타내는 바와 같이 한 요소 또는 특징부와 다른 요소(들) 또는 특징부(들)과의 관계를 설명함에 있어서 설명의 용이성을 위해 본 명세서에 이용될 수 있다. 공간 관련 용어는 도면에 나타내는 방위와 함께, 사용 또는 동작 시의 장치의 상이한 방위를 포함하는 것을 의도한다. 장치는 다른 식으로 지향(90도 또는 다른 방위로 회전)될 수 있으며 본 명세서에 사용한 공간 관련 기술자(descriptor)는 그에 따라 마찬가지로 해석될 수 있다.
게이트 올 어라운드(Gate-All-Around, GAA) 구조를 구비한 FinFET(Fin Field-Effect Transistor) 및 그 형성 방법을 다양한 예시적인 실시형태들에 따라 제공한다. FinFET을 형성하는 중간 스테이지에 대해 예시한다. 실시형태의 변형예에 대해 설명한다. 다양한 도면 및 예시적인 실시형태 전체에 있어서, 같은 참조 번호는 같은 요소를 지정하는데 이용된다. 도 1 내지 도 23c와 도 24 내지 도 40c가 상이한 실시형태들을 도시하고 있지만, 이들 실시형태는 동일한 FinFET의 형성에 있어서 조합될 수 있음은 물론이다. 예를 들어, 도 1 내지 도 23c에 도시하는 실시형태들은 FinFET의 채널 영역 및 게이트 스택의 형성을 포함하고, 도 24 내지 도 40c에 도시하는 실시형태들은 FinFET의 소스/드레인 영역 및 소스/드레인 실리사이드의 형성을 포함한다. 본 개시의 실시형태에 따른, 채널 영역 및 게이트 스택의 형성과, 소스/드레인 영역 및 소스/드레인 실리사이드의 형성은 그러므로 FinFET을 형성하기 위해 조합될 수 있다.
도 1 내지 도 21d는 일부 예시적인 실시형태에 따른 FinFET의 형성에 있어서 중간 스테이지의 사시도와 단면도를 도시하고 있다. 도 1 내지 도 21d에 나타내는 단계들은 도 22에 도시하는 공정 흐름(300)으로도 도식적으로 도시된다. 이어지는 설명에서는, 도 1 내지 도 21d에 나타내는 공정 단계들은 도 22의 공정 단계를 참조하여 설명된다.
도 1은 웨이퍼의 일부일 수 있는 기판(20)의 단면도를 도시하고 있다. 기판(20)은 반도체 기판일 수 있으며, 또한 실리콘 기판, 실리콘 탄소 기판, 실리콘 온 절연체(silicon-on-insulator) 기판 또는 다른 반도체 재료로 형성된 기판일 수도 있다. 기판(20)은 p타입 또는 n타입 불순물로 약하게 도핑될 수 있다. 그런 다음 기판(20)의 상단부 상에 APT(Anti-Punch-Through) 주입(화살표로 표시)을 행하여 APT 영역(21)을 형성한다. 각각의 단계는 도 22에 도시한 공정 흐름의 단계 302로서 나타낸다. APT 내에 주입된 도펀트의 전도성 타입은 웰 영역(도시 생략)의 것과 동일하다. APT층(21)은 후속해서 형성되는 소스/드레인 영역(58)(도 21a) 아래로 연장되며, 이 층은 소스/드레인 영역(58)으로부터 기판(20)에의 누설을 줄이는데 이용된다. APT층(21) 내의 도핑 농도는 약 1E18 /cm3과 약 1E19 /cm3 사이의 범위 내에 있을 수 있다. 간결함을 위해, 후속 도면에서는 APT 영역(21)을 도시하지 않는다.
도 2를 참조하면, 에피택시를 통해 실리콘 게르마늄(SiGe)층(22)과 반도체 스택(24)이 기판(20) 위에 형성된다. 각각의 단계는 도 22에 도시한 공정 흐름의 단계 304로서 나타낸다. 따라서, SiGe층(22)과 반도체 스택(24)이 결정층(crystalline layer)을 형성한다. 본 개시의 일부 실시형태에 따르면, SiGe층(22)의 두께(T1)는 약 5 nm와 약 8 nm 사이의 범위 내이다. SiGe층(22)의 게르마늄 백분율(원자 백분율)은 약 25 퍼센트와 약 35 퍼센트의 범위 내이지만, 더 높거나 낮은 게르마늄 백분율이 이용될 수도 있다. 그런데 명세서 전반에서 언급하는 값은 예시뿐이며, 다른 값으로 변경될 수 있음은 물론이다.
SiGe층(22) 위에는 반도체 스택(24)이 있다. 일부 실시형태에 따르면, 반도체 스택(24)은 교대로 적층된 반도체층(26, 28)을 포함한다. 반도체층(26)은 게르마늄이 없는 순수 실리콘층일 수 있다. 반도체층(26)은 예컨대 게르마늄 백분율이 약 1 퍼센트 미만인 실질적으로 순수한 실리콘층일 수도 있다. 또한, 반도체층(26)은 p타입과 n타입 불순물로 도핑되지 않은 진성일 수 있다. 반도체층(26)은 2개, 3개, 4개 이상이 있을 수 있다. 일부 실시형태에 따르면, 반도체층(26)의 두께(T2)는 약 6 nm와 약 12 nm 사이의 범위 내이다.
반도체층(28)은 SiGe층(22) 내의 게르마늄 백분율보다 낮은 게르마늄 백분율을 갖는 SiGe층이다. 본 개시의 일부 실시형태에 따르면, SiGe층(28)의 게르마늄 백분율은 약 10 퍼센트와 약 20 퍼센트 사이의 범위 내이다. 또한, SiGe층(22)의 게르마늄 백분율과 SiGe층(28)의 게르마늄 백분율 간의 차이는 약 15 퍼센트 이상보다 클 수 있다. 일부 실시형태에 따르면, SiGe층(28)의 두께(T3)는 약 2 nm와 약 6 nm 사이의 범위 내이다.
하드 마스크(30)가 반도체 스택(24) 위에 형성된다. 본 개시의 일부 실시형태에 따르면, 하드 마스크(30)는 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, 실리콘 탄질화물 등으로 형성된다.
다음으로, 도 3에 도시하는 바와 같이, 하드 마스크(30), 반도체 스택(24), SiGe층(22) 및 기판(20)이 트렌치(32)을 형성하도록 패터닝된다. 각각의 단계는 도 22에 도시한 공정 흐름의 단계 306으로서 나타낸다. 따라서, 반도체 스트립(34)이 형성된다. 트렌치들(32)는 기판(20) 내로 연장되며, 서로 평행한 길이 방향을 갖는다. 이에, 반도체 스택(24)의 잔여부를 한편으론 반도체 스트립(24)이라고 한다.
도 4를 참조하면, 반도체 스트립(34)의 노출부 상에 산화 공정이 행해진다. 각각의 단계는 도 22에 도시한 공정 흐름의 단계 308로서 나타낸다. 본 개시의 일부 실시형태에 따르면, 산화 전에, 트리밍 단계를 수행하여 SiGe 스트립(22, 28)을 트리밍하나, 실리콘 스트립(26)은 트리밍되지 않는다. 트리밍에 의해 SiGe층(22, 28)은 실리콘 스트립(26)의 각각의 엣지부로부터 횡방향으로 리세싱된다. 트리밍은 SiGe층(22)의 폭을 축소시키는 영향이 있어, 후속 산화에서는, 산화에 걸리는 시간 및/또는 온도가 너무 많이 증가하지 않고서 SiGe층(22)이 충분히 산화될 수 있다.
산화의 결과, SiGe층(22)은 실리콘 게르마늄 산화물 영역(38)을 형성하도록 충분히 산화되고, SiGe 스트립(28)의 적어도 외측부는 실리콘 게르마늄 산화물 영역(40)을 형성하도록 산화된다. 실리콘 게르마늄 산화물 영역(38)의 두께는 약 5 nm와 약 20 nm 사이의 범위 내일 수 있다. 일부 실시형태에 있어서, 산화는 약 400℃ 및 600℃ 사이의 범위의 온도에서 행해진다. 산화 시간의 범위는 예컨대 약 2 분과 약 4 시간 사이일 수 있다. 실리콘 게르마늄 내의 실리콘의 산화는 동일한 게르마늄 영역 내의 게르마늄의 산화보다 용이하다. 따라서, 산화물 스트립(38) 내의 실리콘 원자가 산화되고, 반도체 스트립(28) 내의 게르마늄 원자가 SiGe 스트립(28)의 중심을 향해 내부로 확산함에 따라, 잔여 SiGe 스트립(28) 내의 게르마늄 백분율은 산화 전에 SiGe 스트립(28) 내의 게르마늄 백분율보다 상승한다.
산화중에, 실리콘 산화물층(36)이 기판(20) 및 실리콘 스트립(26)의 노출면 상에도 형성된다. 게르마늄 백분율의 상승에 따라 SiGe(또는 실리콘)의 산화율 이 상승하기 때문에, 실리콘층(26)과 기판(20)의 산화는 SiGe층(22)과 SiGe 스트립(28)의 산화보다 훨씬 느리다. 따라서, 실리콘 산화물층(36)은 얇고, 대부분의 실리콘층(26)과, 스트립(34) 내의 기판(20)의 부분(이하 스트립부라고 함)은 산화되지 않는다.
다음으로, 도 5에 도시하는 바와 같이, STI(Shallow Trench Isolation) 영역일 수 있는 절연 영역(42)이 트렌치(32)(도 4) 내에 형성된다. 형성은, 예컨대 FCVD(Flowable Chemical Vapor Deposition)를 이용하여 유전체층으로 트렌치(32)를 충전하는 단계와, 유전체 재료의 상단면을 하드 마스크(30)의 상단면과 같은 높이로 하기 위해 화학적 기계 연마(Chemical Mechanical Polish, CMP)를 수행하는 단계를 포함할 수 있다. CMP 후에, 하드 마스크층(30)(도 4)가 제거된다.
다음으로, 도 6을 참조하면, STI 영역(42)이 리세싱된다. 도 5와 도 6에 도시한 단계들은 도 22에 도시한 공정 흐름의 단계 310으로서 나타낸다. 그렇게 형성된 STI 영역(42)의 상단면(42A)은 실리콘 게르마늄 산화물 영역(38)의 상단면 또는 하단면과 같은 높이일 수 있거나, 실리콘 게르마늄 산화물 영역(38)의 상단면과 하단면 사이의 임의의 중간 높이에 있을 수 있다. 본 명세서 전체를 통해, 이하에서는 반도체 스택(24)을 반도체 핀(24)이라고도 한다.
도 7은 일부 실시형태에 따른 실리콘 산화물을 포함할 수 있는 더미 산화물층(44)의 형성을 도시하고 있다. 여기서, 더미 산화물층(44)은 반도체 스트립(24)의 측벽, 실리콘 게르마늄 산화물 영역(38), 및 반도체 스택(24)의 상단면을 보호한다. 더미 산화물층(44)은 또한 STI 영역(42)의 상단면 상에 연장된다. 더미 산화물층(44)과 STI 영역(42)이 동일한 유전체 재료(실리콘 산화물 등)로 형성될 수 있기 때문에, 더미 산화물층(44)과 STI 영역(42) 간의 계면은 일부 실시형태에서는 식별 가능함에도 도시하지 않는다. 다른 실시형태에서는 계면이 식별 가능하지 않다.
도 8을 참조하면, 더미 게이트 스택(46)이 형성된다. 각각의 단계는 도 22에 도시한 공정 흐름의 단계 312로서 나타낸다. 본 개시의 일부 실시형태에 따르면, 더미 게이트 스택(46)은 예컨대 폴리실리콘을 이용해 형성될 수 있는 더미 게이트 전극(48)을 포함한다. 또한 더미 게이트 스택(46)은 더미 게이트 전극(48) 위의 하드 마스크층(50)을 포함할 수 있다. 하드 마스크층(50)은 예컨대 실리콘 질화물 및/또는 실리콘 산화물을 포함할 수 있고, 단일층 또는 복수의 층을 포함하는 복합층일 수 있다. 일부 실시형태에 있어서, 하드 마스크층(50)은 실리콘 질화물층(50A)과, 그 실리콘 질화물층(50A) 위의 실리콘 산화물층(50B)을 포함한다. 더미 게이트 스택(46)은 반도체 핀(24)의 길이 방향에 실질적으로 수직인 길이 방향을 갖는다.
게이트 스페이서(54)가 더미 게이트 스택(46)의 측벽 상에 형성된다. 본 개시의 일부 실시형태에 따르면, 게이트 스페이서(54)는 실리콘 질화물로 형성되고 단일층 구조를 구비할 수 있다. 대안적 실시형태에 있어서, 게이트 스페이서(54)는 복수의 층을 포함하는 복합 구조를 구비한다. 예를 들어, 게이트 스페이서(54)는 실리콘 산화물층과, 그 실리콘 산화물층 위의 실리콘 질화물을 포함할 수 있다. 더미 게이트 스택(46)과 게이트 스페이서(54)는 반도체 핀(24) 각각의 중앙부를 덮고, 대향하는 단부들은 덮이지 않게 한다.
도 9는 반도체 핀(24)의 단부의 제거를 도시하고 있다. 도 8에 도시하는 바와 같이 더미 산화물층(44), 반도체 스택(24) 및 실리콘 게르마늄 산화물 영역(38)을 에칭하기 위해 건식 에칭이 행해진다. 그 결과, 오목부(56)가 형성된다. 본 개시의 일부 실시형태에 따르면, 더미 산화물층(44)의 일부 부분은 STI 영역(42)의 엣지부 위에 기립해서 그 엣지부에 얼라인될 수 있고, 그 사이에 오목부(56)가 형성되어 있다.
다음으로, 도 10을 참조하면, 오목부(56)(도 9)로부터 반도체 재료를 선택적으로 성장시켜, 에피택셜 영역(소스/드레인 영역)(58)이 형성된다. 본 개시의 일부 실시형태에 따르면, 소스/드레인 영역(58)의 형성은 에피택시 성장을 포함한다. 대안적 실시형태에 따르면, 소스/드레인 영역(58)의 형성은 도 28 내지 도 37a에 도시하는 공정 단계들을 채택하여 달성된다. 각각의 단계는 도 22에 도시한 공정 흐름의 단계 314로서 나타낸다. 도 10에 도시하는 바와 같이, 더미 산화물층(44)의 잔여 부분의 블록킹으로 인해, 소스/드레인 영역(58)이 먼저 오목부(56)(도 9) 내에서 수직으로 성장하고, 그 동안에 소스/드레인 영역(58)은 수평으로는 성장하지 않는다. 오목부(56)가 완전히 충전된 후에, 소스/드레인 영역(58)은 패싯을 형성하도록 수직 및 수평 양 방향으로 성장한다.
최종 FinFET이 n타입 FinFET인 일부 예시적인 실시형태에 있어서, 소스/드레인 영역(58)은 실리콘 인(SiP) 또는 인도핑된 실리콘 탄소(SiCP)를 포함한다. 최종 FinFET이 p타입 FinFET인 대안적 예시적인 실시형태에 있어서, 소스/드레인 영역(58)은 SiGe를 포함하고, 붕소 또는 인듐 등의 p타입 불순물은 에피택시중에 인시츄 도핑될 수 있다.
다음으로, 도 11에 도시하는 바와 같이, 층간 유전체(ILD, Inter-Layer Dielectric)(60)가 형성된다. 각각의 단계는 도 22에 도시한 공정 흐름의 단계 316으로서 나타낸다. 그런 다음, ILD(60)의 상단면, 더미 게이트 스택(46)(도 10) 및 게이트 스페이서(54)(도 10)를 서로 같은 높이로 하기 위해 CMP가 수행된다. ILD(60), 게이트 스페이서(54) 및 절연 영역(42)의 각각은 상이한 밀도를 갖고서 상이한 공정 단계에서 형성되고/되거나 상이한 유전체 재료를 포함하기 때문에 ILD(60), 게이트 스페이서(54) 및 STI 영역(42)의 다른 것과 구별 가능한 계면을 가질 수 있다.
다음으로, 도 10에 도시하는 바와 같이 더미 게이트(46)가 에칭 단계에서 제거되어, 도 11에 도시하는 바와 같이 오목부(62)가 ILD(60) 내로 연장되도록 형성된다. 각각의 단계는 도 22에 도시한 공정 흐름의 단계 318로서 나타낸다. ILD(60)의 앞부분 후방의 특징부를 도시함에 있어서, ILD(60)의 일부 앞부분은 내부 특징부를 도시하기 위해 후속 도면에 도시하지 않는다. ILD(60)의 미도시 부분이 여전히 존재하는 것은 물론이다. 더미 게이트 스택(46)을 제거한 후에, 반도체 스택(핀)(24)의 중앙부는 오목부(62)에 노출된다. 더미 게이트 스택(46)의 제거 시에, 상단층을 에칭할 때에 더미 게이트 산화물(44)(도 7)이 에칭 정지층으로서 이용된다. 이어서 더미 게이트 산화물(44)이 제거되고, 이에 반도체 핀(24)이 오목부(62)에 노출된다.
도 12a를 참조하면, 실리콘 게르마늄 산화물 영역(40)(도 9 참조), 고농도(concentrated) 반도체 스트립(28), 및 실리콘 게르마늄 산화물 영역(38)(도 8)의 일부 상단부를 제거하기 위해 에칭 단계가 수행된다. 각각의 단계는 도 22에 도시한 공정 흐름의 단계 320으로서 나타낸다. 따라서, 실리콘 스트립들(26)은 갭(64)에 의해 서로 분리된다. 또한, 실리콘 스트립들(26) 중 바닥에 있는 것은 갭(64)에 의해 잔여 실리콘 게르마늄 산화물 영역(38)과 분리될 수 있다. 그 결과, 실리콘 스트립(26)이 현수된다. 현수된 실리콘 스트립(26)의 대향 단부들은 소스/드레인 영역(58)에 접속된다. STI 영역(42)은 오목부(62) 하부에서 오목부에 노출되는 제1 부분과, 게이트 스페이서(54)와 ILD(60)에 의해 덮이지 않는 제2 부분을 포함한다. 본 개시의 일부 실시형태에 따르면, STI 영역(42)의 제1 부분의 상단면은 STI 영역(42)의 제2 부분의 상단면보다 낮아지도록 리세싱된다.
도 12b는 실리콘 스트립(26)의 부분의 더 명확한 도면을 도시하고 있다. 도 12a에 도시하는 바와 같이 ILD(60), 소스/드레인 영역(58) 및 게이트 스페이서(54)는 여전히 이들 특징부가 존재함에도 도 12b에는 도시되지 않는다.
도 13a와 도 13b를 참조하면, 산화 단계가 수행된다. 각각의 단계는 도 22에 도시한 공정 흐름의 단계 322로서 나타낸다. 도 13b는 도 13a에 도시하는 구조의 일부 부분을 도시하고 있는데, 도 13a에 도시하는 바와 같이 ILD(60), 소스/드레인 영역(58) 및 게이트 스페이서(54)는 여전히 이들 특징부가 존재함에도 도 13b에는 도시되지 않는다. 산화는 수증기 속에서의 스팀 산화, 산소(O2) 내에서의 열 산화 등을 이용하여 수행될 수 있다. 본 개시의 일부 실시형태에 따르면, 산화는 약 400℃와 약 600℃ 사이의 범위 내의 온도에서 수증기를 이용해 수행된다. 산화의 지속시간은 약 20 초와 약 20 분 사이의 범위 내일 수 있다. 산화의 결과, 실리콘 스트립(26)의 외측부는 도 13b에 도시하는 바와 같이 실리콘 스트립(26)의 잔여부를 둘러싸는 실리콘 산화물 링(66)을 형성하도록 산화된다. 일부 실시형태에 있어서, 인접한 실리콘 스트립들(26)로부터 형성된 실리콘 산화물 링(66)은 서로 닿는다. 또한, 실리콘 스트립들(26) 중 바닥에 있는 것으로부터 형성된 실리콘 산화물 링(66)은 일부 실시형태에서는 실리콘 게르마늄 산화물 영역(38)의 상단면과 접촉할 수 있다. 대안적 실시형태에 있어서, 인접한 실리콘 스트립들(26)으로부터 형성된 실리콘 산화물 링(66)은 서로 이격된다.
산화 과정에서, 코어 회로(로직 회로라고도 알려짐) 내의 코어 FinFET과, 입출력(input/output, IO) 회로 내의 IO FinFET은 동시에 산화된 반도체 스트립을 구비한다. 도 13a와 도 13b에 도시한 구조는 코어 FinFET과 IO FinFET 둘다의 구조를 도시하고 있다. 후속 단계에서는, 도 14a에 도시하는 바와 같이, IO 영역(200) 내의 실리콘 산화물 링(66)을 덮기 위해 포토레지스트(68)가 형성되며, 코어 영역(100)은 덮이지 않는다. 그런 다음, 코어 영역(100)에서 실리콘 산화물 링(66)을 제거하기 위해 에칭이 수행되어, 실리콘 스트립(26)이 노출된다. 한편, IO 영역(200) 내의 실리콘 산화물 링(66)은 제거로부터 보호되기 때문에, 에칭 후에 남을 것이다. 각각의 단계는 도 22에 도시한 공정 흐름의 단계 324로서 나타낸다. 그런 다음 포토레지스트(68)는 제거된다. 에칭 후에, 코어 영역(100) 내의 실리콘 스트립들(26)은 이 경우에도 갭에 의해 서로 분리되고, 실리콘 스트립들(26) 중 바닥에 있는 것은 갭에 의해 실리콘 게르마늄 산화물 영역(38)의 상단면과 분리된다. 도 14b는 도 14a에 도시하는 구조의 일부 부분을 도시하고 있는데, 도 14a에 도시하는 바와 같이 ILD(60), 소스/드레인 영역(58) 및 게이트 스페이서(54)는 여전히 이들 특징부가 존재함에도 도 14b에는 도시되지 않는다.
후속 단계에서, 게이트 유전체(70)가 형성된다. 각각의 단계는 도 22에 도시한 공정 흐름의 단계 326으로서 나타낸다. 코어 영역(100; 도 14a와 도 14b) 내의 코어 FinFET의 경우, 게이트 유전체(70)가 실리콘 스트립(26)(도 14a와 도 14b)의 노출면 상에 형성된다. 그렇게 형성된 구조가 도 15a와 도 15b에 도시된다. IO 영역(200; 도 14a와 도 14b) 내의 IO FinFET의 경우, 게이트 유전체(70)가, 이미 형성된 실리콘 산화물 링(66) 상에 형성되기 때문에, 실리콘 산화물 링(66)이 게이트 유전체(70)의 부분이 된다. 따라서, 코어 FinFET과 IO FinFET 둘다 도 15a와 도 15b에 도시한 구조를 구비하지만, IO FinFET의 게이트 유전체(70)가 코어 FinFET의 게이트 유전체보다 두껍다. 이 경우에도, 도 15b는 도 15a에 도시하는 구조의 일부 부분을 도시하고 있는데, 도 15a에 도시하는 바와 같이 ILD(60), 소스/드레인 영역(58) 및 게이트 스페이서(54)는 도 15b에는 도시되지 않는다.
일부 실시형태에 따르면, 게이트 유전체(70)의 형성은 계면(유전체)층을 형성하는 단계와, 이어서 계면층 상에 하이k 유전체층을 형성하는 단계를 포함한다. 계면층은, 화학 산화물(실리콘 산화물)을 형성하기 위해 실리콘 스트립(26)이 산화되도록, 도 14a와 도 14b 내의 구조를 화학 용액 속에서 처리함으로써 형성된 실리콘 산화물을 포함할 수 있다. 그 결과, IO 영역 내의 게이트 유전체(70)는 코어 영역 내의 게이트 유전체(70)보다 두꺼울 것이다. 그런 다음 계면층 상에 하이k 유전체가 적층된다. 일부 실시형태에 있어서, 하이k 유전체는 k값이 약 7.0보다 클 수 있고, Hf, Al, Zr, La 등의 금속 산화물 또는 규화물을 포함할 수 있다.
도 16a는 게이트 전극(72)의 형성을 도시하고 있다. 각각의 단계는 도 22에 도시한 공정 흐름의 단계 328로서 나타낸다. 형성은 전도성 재료로 오목부(62)(도 15a)를 충전하는 단계와, CMP 등의 평탄화를 수행하는 단계를 포함한다. 게이트 전극(72)은 TiN, TaN, TaC, Co, Ru, Al, Cu, W 등의 금속 함유 재료, 이들의 조합, 또는 이들의 다층을 포함할 수 있다. 그래서 FinFET(74)가 형성된다. 실리콘 게르마늄 산화물 영역(38)과 소스/드레인 영역(58) 아래에는 안티 펀치 쓰루(Anti-Punch-Through) 영역(21)이 있다.
도 16b와 도 16c는 도 16a의 FinFET(74)의 일부 부분의 단면도를 도시하고 있는데, 그 단면도는 도 16a에서 선 16B/16C-16B/16C을 포함하는 수직면으로부터 얻어진 것이다. 도 16b와 도 16c에 도시하는 바와 같이, 게이트 유전체(70)는 인접한 실리콘 스트립들(26) 사이의 갭을 완전히 충전한다. 따라서, 게이트 전극(72)은 인접한 실리콘 스트립들(26) 사이의 갭 내로 충전될 가능성은 없을 것이며, 소스/드레인 영역(58)(도 16a)에서 단락되지 않을 것이다.
또한 도 16b와 도 16c는 게이트 유전체(70)가 실리콘 산화물(76)과, 실리콘 산화물(76)의 외측 상의 하이k 유전체(78)를 포함하는 것을 도시하고 있다. FinFET(74)가 코어 FinFET인 경우, 실리콘 산화물(76)은 계면층을 포함한다. FinFET(74)가 IO FinFET인 경우, 실리콘 산화물(76)은 실리콘 산화물 링(66)(도 13a와 도 13b)과 계면층을 포함한다. 도 16b에 있어서, 일부 실시형태에 따르면, 인접한 실리콘 스트립들(26) 상에 형성된 실리콘 산화물(76)은 서로 접촉한다. 도 16c에 있어서, 일부 실시형태에 따르면, 인접한 실리콘 스트립들(26) 상에 형성된 실리콘 산화물(76)은 서로 접촉하지 않고, 인접한 실리콘 스트립들(26) 상에 형성되는 실리콘 산화물(76) 사이의 갭은 하이k 유전체(78)가 충전한다.
도 17a 내지 도 22b는 대안적 실시형태에 따른 FinFET의 형성에 있어서 중간 스테이지의 단면도를 도시하고 있다. 다른 식으로 명시하지 않는다면, 이들 실시형태에서의 구성요소의 재료 및 형성 방법은, 도 1 내지 도 16c에 도시한 실시형태에서 유사 도면부호가 표시되는 유사 구성요소와 실질적으로 같을 수 있다. 그렇기 때문에, 도 17a 내지 도 22b에 도시하는 구성요소의 형성 공정 및 재료에 관한 상세는 도 1 내지 도 16c에 도시한 실시형태의 설명에서 찾을 수 있다.
이들 실시형태의 초기 단계는 도 1 내지 도 11에 도시한 것과 본질적으로 동일하다. 다음으로, 도 17a와 도 17b는 도 12a와 도 12b에 도시한 단계와 유사한 에칭 단계를 도시하고 있다. 도 17a를 참조하면, 실리콘 게르마늄 산화물 영역(40)(도 9 참조), 고농도 반도체 스트립(28), 및 실리콘 게르마늄 산화물 영역(38)(도 8)의 일부 상단부를 제거하기 위해 에칭 단계가 수행된다. 따라서, 실리콘 스트립들(26)은 갭(64)에 의해 서로 분리된다. 또한, 실리콘 스트립들(26) 중 바닥에 있는 것은 갭(64)에 의해 잔여 실리콘 게르마늄 산화물 영역(38)과 분리될 수 있다. 도 12a와 도 12b에 도시한 단계들과 비교해서, STU 영역(42) 및 실리콘 게르마늄 산화물 영역(38)의 부분은 도 12a와 도 12b에서보다 낮게 리세싱된다. 그 결과, 실리콘 스트립들(26) 중 바닥에 있는 것과 실리콘 게르마늄 산화물 영역(38)의 상단면 사이의 갭(64)은 도 12a와 도 12b에서보다 높다.
다음으로, 도 18a와 도 18b는 도 13a와 도 13b에 각각 도시하는 것과 본질적으로 동일한 공정 단계와 구조를 도시하고 있는 것으로서, 산화가 수행되고 실리콘 산화물 링(66)이 형성되어 있다. 또한, 실리콘 스트립들(26) 중 바닥에 있는 것은 갭(64)에 의해 실리콘 게르마늄 산화물 영역(38)의 상단면과 이격될 수 있다. 도 19a와 도 19b는 도 14a와 도 14b에 각각 도시하는 것과 본질적으로 동일한 공정 단계와 구조를 도시하고 있는 것으로서, 코어 디바이스 영역으로부터 실리콘 산화물 링(66)이 제거되어 있다. 한편, IO 영역(도시 생략) 내의 실리콘 산화물 링(66)은 보호되며 제거되지 않는다. 도 20a와 도 20b는 도 15a와 도 15b에 각각 도시하는 것과 본질적으로 동일한 공정 단계와 구조를 도시하고 있는 것으로서, 게이트 유전체(70)가 형성되어 있다. 도 21a와 도 21b는 도 16a에 도시하는 것과 본질적으로 동일한 공정 단계와 구조를 도시하고 있는 것으로서, 게이트 유전체(72)가 형성되어 있다.
도 21c와 도 21d는 도 21a의 FinFET(74)의 일부 부분의 단면도를 도시하고 있는데, 그 단면도는 도 21a에서 선 21C/21D-21C/21D을 포함하는 수직면으로부터 얻어진 것이다. 도 21c와 도 21d에 도시하는 바와 같이, 게이트 유전체(70)는 인접한 실리콘 스트립들(26) 사이의 갭을 완전히 충전한다. 따라서, 게이트 전극(72)은 인접한 실리콘 스트립들(26) 사이의 갭 내로 충전되지 않으며 소스/드레인 영역(58)(도 16a)에서 단락되지 않을 것이다.
도 17a와 도 17b에 도시하는 바와 같이 STI 영역(42)과 실리콘 게르마늄 산화물 영역(38)의 리세싱이 더 깊어짐에 따라, STI 영역(42)과 실리콘 게르마늄 산화물 영역(38)은 상부의 실리콘 스트립(26)로부터 더 멀리 이격된다. 그 결과, 도 21c와 도 21d에 도시하는 바와 같이, 실리콘 게르마늄 산화물 영역(38)의 적어도 일부 상단면은 실리콘 스트립들(26) 중 바닥에 있는 스트립 상에 형성되는 게이트 유전체(70)로부터 이격된다. 도 21c에서, 실리콘 게르마늄 산화물 영역(38)의 중심부는 덜 리세싱되어 그 중심부의 양측 상의 실리콘 게르마늄 산화물 영역(38)의 부분보다 돌출된다. 게이트 유전체(70)는 실리콘 게르마늄 산화물 영역(38)의 중심부와 바닥에 있는 실리콘 스트립(26) 사이의 공간을 충전한다. 도 21d에서, 바닥에 있는 실리콘 스트립(26) 상에 형성된 게이트 유전체는 실리콘 게르마늄 산화물 영역(38) 및 STI 영역(42)의 상단면 상에서 갭에 의해 유전체(도면부호 70으로도 표시)와 분리되며, 그 갭은 게이트 유전체(72)로 충전된다.
도 23a, 도 23b 및 도 23c는 대안적 실시형태에 따른 FinFET의 채널 및 게이트 스택의 단면도이다. 이들 실시형태에서는 실리콘 스트립(26)이 3개나 4개가 아니라 2개이다. 또한, 반도체 스트립(26)은 각각의 폭보다 큰 높이를 가질 수 있다. 예를 들어, 각각의 실리콘 스트립(26)의 높이(H1)는 약 10 nm와 약 30 nm 사이의 범위 내일 수 있으며, 각각의 실리콘 스트립(26)의 폭(W1)은 약 6 nm와 약 12 nm 사이의 범위 내일 수 있다. 도 23a, 도 23b 및 도 23c는 도 16b/도 16c, 도 21c 및 도 21d에 각각 도시하는 실시형태에 대응하는 실시형태를 도시하므로 상세한 설명은 여기에서 반복하지 않는다.
본 개시의 실시형태는 몇몇 효과적인 특징을 갖는다. 채널 재료(실리콘 스트립(26))의 형성 전에 APT(anti-punch-through) 주입이 수행된다. 따라서, 최종 FinFET의 채널은 주입된 도펀트에 의해 영향을 받지 않기 때문에, 종래의 APT 주입으로부터 받는 캐리어 이동도의 감소 및 불순물 확산이 제거된다. 최종 FinFET은 복수의 채널을 구비한 GAA FinFET이다. 따라서, 드레인 유기 장벽 감소(Drain-Induced Barrier Lowering, DIBL)에 관련된 단채널 효과(short channel effect)가 향상되고, FinFET의 구동 전류가 다수의 채널로 인해 증가한다.
도 24 내지 도 40c는 대안적 실시형태에 따른 FinFET의 형성에 있어서 중간 스테이지의 단면도를 도시하고 있다. 다른 식으로 명시하지 않는다면, 이들 실시형태에서의 구성요소의 재료 및 형성 방법은, 도 1 내지 도 23c에 도시한 실시형태에서 유사 도면부호가 표시되는 유사 구성요소와 본질적으로 같을 수 있다. 그렇기 때문에, 도 24 내지 도 40c에 도시하는 구성요소의 형성 공정 및 재료에 관한 상세는 도 1 내지 도 23c에 도시한 실시형태의 설명에서 찾을 수 있다. 도 24 내지 도 40c에 나타내는 단계들은 도 22에 도시하는 공정 흐름(400)으로도 도식적으로 도시된다.
도 24는 반도체 기판(20) 내에 APT 영역(21)을 형성하기 위한 APT 주입(화살표로 표시)의 형성을 도시하고 있다. 각각의 단계는 도 41에 도시한 공정 흐름의 단계 402로서 나타낸다. 공정 단계 및 공정 상세는 도 1에 도시하는 바와 본질적으로 같기 때문에, 여기에서는 반복하지 않는다.
다음으로, 도 25에 도시하는 바와 같이, 에피택시를 통해 SiGe층(22)과 반도체층(124)이 기판(20) 위에 형성된다. 각각의 단계는 도 41에 도시한 공정 흐름의 단계 404로서 나타낸다. 따라서, SiGe층(22)이 결정층을 형성한다. SiGe층(22)의 게르마늄 백분율(원자 백분율)은 약 25 퍼센트와 약 35 퍼센트의 범위 내이지만, 더 높거나 낮은 게르마늄 백분율이 이용될 수도 있다. 본 개시의 일부 실시형태에 따르면, SiGe층(22)의 두께(T4)는 약 5 nm와 약 8 nm 사이의 범위 내이다.
SiGe층(22) 위에 반도체층(124)이 형성된다. 본 개시의 일부 실시형태에 따르면, 반도체층(124)은 균질의 반도체 재료로 형성된 단일층이다. 예를 들어, 반도체층(124)은 게르마늄이 포함되지 않은 실리콘으로 형성될 수 있다. 반도체층(124)은 예컨대 게르마늄 백분율이 약 1 퍼센트 미만인 실질적으로 순수한 실리콘층일 수도 있다. 또한, 반도체층(124)은 p타입과 n타입 불순물로 도핑되지 않은 진성일 수 있다. 일부 실시형태에 따르면, 반도체층(124)의 두께(T2)는 약 30 nm와 약 80 nm 사이의 범위 내이다.
본 개시의 대안적 실시형태에 따르면, 반도체층(124)은 도 2에 도시하는 반도체 스택(24)과 본질적으로 같은 구조를 갖는 반도체 스택인 복합층이다. 따라서, 복합 반도체층(124)의 구조와 재료는 반도체 스택(24)의 설명에서 찾을 수 있다.
또한, 하드 마스크(도시 생략)가 반도체층(124) 위에 형성될 수 있다. 일부 실시형태에 따르면, 하드 마스크는 실리콘 질화물, 실리콘 산질화물, 실리콘 탄화물, 실리콘 탄질화물 등으로 형성된다.
다음으로, 도 26에 도시하는 바와 같이, 하드 마스크, 반도체층(124), SiGe층(22) 및 기판(20)이 트렌치(32)를 형성하도록 패터닝된다. 각각의 단계는 도 41에 도시한 공정 흐름의 단계 406으로서 나타낸다. 따라서, 반도체 스트립(34)이 형성된다. 트렌치(32)는 기판(20) 내로 연장되며, 트렌치(32)와 반도체 스트립(34)은 서로 평행한 길이 방향을 갖는다. 이에, 반도체층(124)의 잔여부를 한편으론 반도체 스트립이라고 한다. 후속 단계에서는, 트렌치(32)가 STI 영역(42)으로 충전된 다음 STI 영역(42)의 리세싱이 이어진다. 도 26 이하의 도면에서는, STI 영역(42)과 기판(20)의 하위 부분은 도시하지 않는다. 반도체층(22) 아래의 구조의 부분은 도 6에 도시한 구조의 하위 부분과 본질적으로 같으며, 기판(20)의 부분(이하, 기판 스트립이라고 함)은 STI 영역(42)의 대향 부분들 사이에 위치한다.
STI 영역(42)의 리세싱 후에, STI 영역(42)의 상단면은 SiGe 스트립(22)의 상단면보다 낮다. 본 개시의 일부 실시형태에 따르면, STI 영역(22)의 상단면이 SiGe 스트립(42)의 상단면과 같은 높이이거나 약간 낮기 때문에, SiGe 스트립(22)의 측벽의 적어도 일부분, 그리고 아마도 전체가 노출된다.
다음으로, 도 27을 참조하면, 실리콘 게르마늄 산화물 영역(38)을 형성하기 위해 반도체 스트립(핀)(34)의 노출부 상에 산화 공정이 행해진다. 각각의 단계는 도 41에 도시한 공정 흐름의 단계 408로서 나타낸다. 산화의 결과로서, SiGe층(22)은 실리콘 게르마늄 산화물 영역(38)을 형성하도록 충분히 산화된다. 일부 실시형태에 있어서, 산화는 약 400℃ 및 600℃ 사이의 범위의 온도에서 행해진다. 산화 시간의 범위는 예컨대 약 2 분과 약 4 시간 사이일 수 있다. 산화 시에, 반도체 스트립(124)의 노출면 상에 실리콘 산화물(도시 생략)이 형성된다. 실리콘 게르마늄보다 실리콘의 산화율이 훨씬 낮기 때문에, 반도체 스트립(124) 상의 실리콘 산화물층은 얇아서 여기에 도시하지는 않는다.
반도체 스트립(124)이 도 3에 도시하는 반도체 스트립(24)과 동일한 구조를 갖는 실시형태에서는, 산화 후의 형성된 구조가, 도 4에 도시하는 것과 마찬가지로, 실리콘 게르마늄 산화물 영역(40), 고농도 실리콘 게르마늄 영역(38)을 포함할 것이다.
다음으로, 도 28 내지 도 30에 도시하는 바와 같이, 에칭 정지층(122)이 형성된다. 각각의 단계는 도 41에 도시한 공정 흐름의 단계 410으로서 나타낸다. 에칭 정지층(122)은 소스/드레인 실리사이드 및 소스/드레인 컨택을 형성하기 위한 후속하는 컨택 개구부 형성에서 에칭 정지층으로서 역할한다. 본 개시의 일부 실시형태에 따르면, 에칭 정지층(122)은 실리콘 탄질화물(SiCN)을 포함하지만, 다른 유전체가 재료가 이용될 수도 있다. 에칭 정지층(122)은 약 3 nm와 약 10 nm 사이의 범위 내의 두께를 가질 수 있다.
도 28을 참조하면, 에칭 정지층(122)이 등각층으로서 형성되기 때문에, 반도체 핀(124) 및 실리콘 게르마늄 산화물 영역(38)의 상단면 및 측벽을 덮는다. 일부 실시형태에 따르면, 에칭 정지층(122)의 두께(T5)는 약 3 nm와 약 10 nm 사이의 범위 내이다.
다음으로, 도 29에 도시하는 바와 같이, 예컨대 FCVD를 이용하여 트렌치(32)(도 28)를 충전하기 위해 유전체 영역(128)이 형성된다. 유전체 영역(128)은 일부 실시형태에 따라 실리콘 산화물을 포함할 수 있다. 잔여 유전체 영역(128)의 상단면은 실리콘 게르마늄 산화물 영역(38)의 상단면보다 높다.
도 29는 에칭 정지층(122)의 노출부의 산화도 도시하는데, 노출부의 산화로 에칭 정지층(122)의 노출부는 유전체층(126)으로 변환된다. 에칭 정지층(122)이 SiCN로 형성될 때에, 그렇게 형성된 유전체층은 SiCN과는 에칭 특성이 상이한 실리콘 산탄질화물(SiOCN)을 포함한다. 또한, SiOCN는 SiCN보다 습식 에칭을 이용해서 제거하기가 쉽다. 따라서, 이 변환은 반도체 핀(124)을 손상시키는 일 없이 에칭 정지층(122)의 노출부를 제거하는 것을 가능하게 한다. 본 개시의 일부 실시형태에 따르면, 에칭 정지층(122)의 산화는 노 어닐(furnace anneal)(산소 함유 가스 속에서), 산소 주입 등을 이용해 수행된다.
유전체층(126)이 형성된 후에, 유전체층((126)은 예컨대 습식 에칭을 통해 제거된다. 그렇게 형성된 구조가 도 20에 도시된다. 그 결과, 반도체 핀(124)이 노출된다. 유전체 에칭 정지층(122)의 덮이지 않은 부분은 남게 된다. 단면도에서는, 유전체 에칭 정지층(122)의 잔여 부분이 U자형(L자형도 포함)을 갖는다. 본 개시의 일부 실시형태에 따르면, 잔여 에칭 정지층(122)의 상단면은 실리콘 게르마늄 산화물 영역(38)의 상면층과 같은 높이이거나 더 높기 때문에, 컨택 개구부를 형성하기 위한 후속 에칭에서는 에칭 정지층(122)이 실리콘 게르마늄 산화물 영역(38)도 보호한다. 그렇게 형성된 구조에 있어서, 에칭 정지층(122)의 수직 부분은 실리콘 게르마늄 산화물 영역(38)과 동일 평면상의 부분을 가질 수 있다. 따라서, 에칭 정지층(122)의 수직 부분은 실리콘 게르마늄 산화물 영역(38)보다 높을 수 있다.
도 31은 더미 게이트 스택(46)의 형성을 도시하는 평면도를 도시하는 것으로서, 더미 게이트 스택은 반도체 핀(124)의 상단면과 측벽 상에 형성된다. 각각의 단계는 도 41에 도시한 공정 흐름의 단계 412로서 나타낸다. 더미 게이트 스택(46)의 사시도는 도 34b에 도시한 것과 본질적으로 같을 수 있다. 현 시점에서는 더미 게이트 스택(46)의 측벽 상에 게이트 스페이서가 형성되지 않는다. 일부 실시형태에 따르면, 더미 게이트 스택(46)은 예컨대 폴리실리콘을 이용해 형성될 수 있는 더미 게이트 전극(48)을 포함한다. 더미 게이트 스택(46)은, 예컨대 실리콘 질화물층(50A)과 그 실리콘 질화물층(50A) 위의 실리콘 산화물층(50B)을 포함할 수 있는 하드 마스크층(50)을 포함할 수도 있다. 더미 게이트 스택(46)은 반도체 핀(124)의 길이 방향에 실질적으로 수직인 길이 방향을 가지며, 반도체 핀(124)의 대향 단부들은 더미 게이트 스택(46)에 의해 덮이지 않는다.
도 32 내지 도 38b는 소스 및 드레인 영역(이하, 소스/드레인 영역이라고 함)의 형성을 도시하고 있다. 도 32 내지 도 38의 도면 번호에서는 문자 "a" 또는 "b" 중 하나가 이어질 수 있는데, 문자 "a"는 도 31의 선 A-A를 포함하는 수직면과 동일한 평면으로부터 각각의 도면이 얻어진 것을 나타내고, 문자 "b"는 도 31의 선 B-B를 포함하는 수직면과 동일한 평면으로부터 각각의 도면(도 34b는 예외)이 얻어진 것을 나타낸다. 따라서, 문자 "a"가 뒤따르는 번호의 도면은 소스/드레인 영역의 단면도를 도시하고, 문자 "b"가 뒤따르는 번호의 도면은 더미 게이트 스택(46)의 단면도를 도시한다.
도 32 내지 도 34b는 소스 및 드레인 영역을 에피택셜 성장시키기 위한 소스/드레인 템플릿의 형성을 도시하고 있다. 각각의 단계는 도 41에 도시한 공정 흐름의 단계 414로서 나타낸다. 도 32를 참조하면, 유전체층(130)이 형성되고, 그 유전체층(130) 위에 유전체층(132)의 형성이 이어진다. 유전체층(130, 132)의 재료는 서로 다를 수 있다. 유전체층(132)은 일부 실시형태에 따라 SiOCN로 형성될 수 있다. 유전체층(130)은 유전체층(132)의 재료와 상이한 재료로 형성될 수 있다. 예를 들면, 일부 실시형태에서는 유전체층(130)이 실리콘 산화물로 형성된다. 유전체층(130)의 형성은 이어지는 단락에서 설명하는 바와 같이 최종 소스/드레인 영역의 폭을 증가시키는 바람직한 특징이 있다. 유전체층(130, 132)은 등각층으로서 형성되어, 더미 게이트 스택(46)의 측벽(도 34b에 도시) 및 상단면 상에도 연장될 것이다.
도 33은 에칭을 통한 반도체 핀(124)의 제거를 도시하고 있는 것으로서, 제거된 부분은 더미 게이트 스택(46)(도 31)에 의해 덮이지 않는다. 반도체 핀(124) 위의 유전체층(130, 132)의 부분은 에칭에서도 제거된다. 반도체 핀(124)의 에칭 후에, 실리콘 게르마늄 산화물 영역(38)(도 32)도 에칭된다. 이에, 소스/드레인 오목부(136)는 STI 영역들(42) 사이에 있는 기판(20)의 부분으로 연장되도록 형성된다. 오목부(136)는 실질적으로 수직 측벽을 갖는데, 이 측벽은 유전체층(30)과 에칭 정지층(122)의 측벽을 포함한다. 일부 실시형태에 따르면, 이 에칭은 이방성이다.
다음으로, 유전체층(130)을 제거하기 위해 에칭 단계가 수행되며, 그렇게 형성된 구조는 도 34a와 도 34b에 도시하는데, 이들 도면은 소스/드레인 영역의 단면도와, 소스/드레인 영역 및 더미 게이트 스택(46)의 사시도를 각각 도시하고 있다. 에칭은 예컨대 습식 에칭을 이용한 등방성일 수 있다. 그 결과, 오목부(136)의 횡방향 폭은 도 22의 것보다 증가한다. 이에, 오목부(136) 내에 후속으로 성장하는 소스/드레인 영역의 폭을 효과적으로 증가시킬 수 있다. 또한, 유전체층(132)의 잔여 부분의 하단면(이하, 유전체 템플릿(132)이라고 함)은 하위 부분의 유전체 영역(128)으로부터 갭(138)만큼 이격된다. 따라서, 유전체 템플릿(132)이 현수된다.
사시도인 도 34b에 도시하는 바와 같이, 유전체 템플릿(132)이 더미 게이트 스택(46)의 측벽 상의 유전체층(132)의 부분에 접속되어, 떨어지지 않을 것이다. 또한, 더미 스택 스택(46)의 측벽 상의 유전체층(130)의 부분이 남게 되어 오목부(136)에 노출될 수 있다.
후속 단계에서는 도 34a와 도 34b에 도시하는 바와 같이 소스/드레인 영역이 오목부(136) 내에서 에피택셜 성장한다. 각각의 단계는 도 41에 도시한 공정 흐름의 단계 416으로서 나타낸다. 갭(138)(도 34b)의 존재로, 전구체가 오목부(136)의 바닥부 및 내부에 도달하기 쉽기 때문에, 최종 소스/드레인 영역이 보이드를 가질 가능성이 적어진다. 도 35는 최종 소스/드레인 영역(58)을 도시하고 있다. 소스/드레인 영역(58)의 재료 및 형성 공정은 도 10에 도시하는 것과 같기 때문에, 여기에서는 반복하지 않는다. 소스/드레인 영역(58)은 수직 측벽을 갖는 부분(58A), 패싯(58', 58")을 갖는 부분(58B), 에칭 정지층(122) 사이의 부분(58C), 및 갭(138)(도 34a) 내에 형성된 부분(58D)을 포함한다.
도 36a 내지 도 37b는 소스/드레인 영역(58)의 트리밍을 도시하고 있으며, 이 트리밍에 의해 도 35 내의 패싯(58', 58")이 제거되어 수직 소스/드레인 영역(68)을 형성한다. 각각의 단계는 도 41에 도시한 공정 흐름의 단계 418로서 나타낸다. 도 36a을 참조하면, 유전체층(140)이 형성된다. 일부 실시형태에 따르면, 유전체층(140)은 유전체(132)와 같은 재료로 형성되는데, 이는 예컨대 SiOCN을 포함할 수 있다. 더미 게이트 스택(46)을 보여주는 도 36b에 도시하는 바와 같이, 유전체층(140)은 더미 게이트 스택(46) 상에도 형성되어 유전체층(132)과 접촉한다.
다음으로, 도 37a와 도 37b에 도시하는 바와 같이, 소스/드레인 영역(58)을 오버랩핑하는 유전체층(140)의 부분을 에칭하기 위해 건식 에칭이 수행되어, 소스/드레인 영역(58)이 노출된다. 이어서, 예컨대 등방성 (건식) 에칭을 이용하여 트리밍 단계가 수행되고, 소스/드레인 영역(58)의 패싯이 제거된다. 그렇게 형성된 구조가 도 38a와 도 38b에 도시되는데, 이들 도면은 소스/드레인 부분과 더미 게이트 스택을 각각 도시하고 있다. 소스/드레인 트리밍의 결과로 형성된 소스/드레인 영역(58)은 실질적으로 수직의 측벽을 가지며, 남아있는 실질적인 패싯은 없다. 노출된 소스/드레인 영역(58)의 측벽은 실질적으로 수직이며 똑바르다(straight). 다음으로, 소스/드레인 영역(58)의 측벽 상의 유전체층(132, 140)의 부분을 제거하기 위해 건식 에칭이 수행된다. 그래서 에칭 정지층(122)이 노출된다. 한편, 도 38b에 도시하는 바와 같이 더미 게이트 스택(46)의 상단면도 노출된다. 유전체층(132, 140)의 남겨진 부분이 게이트 스페이서(132/140)를 형성한다. 유전체층(132, 140)은 이들 층이 동일하거나 상이한 재료로 형성되는지의 여부와 무관하게, 상이한 공정 단계에서 형성되기 때문에, 식별 가능한 계면을 구비할 수 있는 것은 물론이다. 유전체층(140)의 형성이 게이트 스페이서의 두께를 효과적으로 증가시켜, 도 38b의 구조에서는, 게이트 스페이서(132/140)의 상단부가 폴리실리콘층(48)의 상단면보다 높다. 그렇게 형성된 구조에 있어서, 게이트 스페이서(132/140)의 두께는 약 3 nm와 약 10 nm 사이의 범위 내에 있을 수 있다.
다음으로, 도 39a와 도 39b에 도시하는 바와 같이, ILD(60)이 형성된다. 각각의 단계는 도 41에 도시한 공정 흐름의 단계 420으로서 나타낸다. 그런 다음, ILD(60)의 상단면, 더미 게이트 스택(46)의 상단면, 및 게이트 스페이서(132/140)의 높이를 서로 같게 하기 위해 CMP가 수행될 수 있다. 후속 단계에서는, 도 40a에 도시하는 바와 같이 더미 게이트 스택(46)(도 39b)이 제거되고, 게이트 유전체(도시 생략)와 게이트 전극(72)이 대체 게이트로서 형성된다. 각각의 단계는 도 41에 도시한 공정 흐름의 단계 422로서 나타낸다. 반도체 핀(124)(도 34b)이 균질 재료로 형성되는 실시형태에서는, 대체 게이트의 형성이, 반도체 핀(124)(도 34b)의 측벽 및 상단면 상에 계면 유전체층 및 하이k 유전체층을 형성하는 단계와, 하이k 유전체층 위에 전도성 재료를 형성하는 단계와, 계면 유전체층의 상단면, 하이k 유전체층 및 전도성 재료를 ILD(60)의 상단면과 같은 높이로 하기 위해 CMP를 수행하는 단계를 포함한다. 반도체 핀(124)이, 도 2에 도시하는 반도체 스택(24)과 같은 구조를 갖는 대안적 실시형태에서는, 대체 게이트를 형성하기 위해 도 11 내지 도 16b에 나타내는 단계들을 수행할 수 있다.
이 경우에도 도 40a를 참조하면, 대체 게이트의 형성 후에, (도 40a와 도 40b에서 처럼 컨택 플러그(146)가 차지하는)컨택 개구부를 형성하기 위해 ILD(60)이 에칭되는데, 소스/드레인 영역(58)은 컨택 개구부에 노출되게 된다. ILD(60)의 에칭에서는, 에칭 정지층(122)이 하위 부분의 STI 영역(42)을 보호하기 위한 에칭 정지층으로서 역할한다. 에칭 정지층(122)의 상단부는 실리콘 게르마늄 산화물 영역(38)의 상단부보다, 약 2 nm와 약 5 nm 사이의 범위 내에 있을 수 있는 높이차 ΔH만큼 높기 때문에, 실리콘 게르마늄 산화물 영역(38)은 에칭에서도 적절하게 보호될 수 있다. 본 개시의 일부 실시형태에 따르면, 도 40a에 도시하는 바와 같이, 에칭 정지층(122)의 대부분이 실리콘 게르마늄 산화물 영역(38)보다 높다. 대안적 실시형태에 있어서, 도 30에 도시하는 바와 같이, 에칭 정지층(122)과 실리콘 게르마늄 산화물 영역(38)의 대부분은 서로 높이가 같다.
다음으로, 소스/드레인 영역(58)의 측벽 상에 소스/드레인 실리사이드 영역(144)을 형성하기 위해 실리사이드화(silicidation) 공정이 수행된 다음에, 소스/드레인 컨택 플러그(146)을 형성하도록 전도성 재료로 잔여 컨택 개구부를 충전한다. 각각의 단계는 도 41에 도시한 공정 흐름의 단계 424와 426으로서 나타낸다. 본 개시의 일부 실시형태에 따르면, 실리사이드 영역은 니켈 실리사이드, 티탄 실리사이드, 코발트 실리사이드층 등을 포함한다. 컨택 플러그(146)는 코발트, 텅스텐 등을 포함할 수 있다. 그래서 도 40a에 도시하는 바와 같이 FinFET(74)가 형성된다.
도 40b와 도 40c는 다양한 실시형태에 따라 FinFET(74)의 소스/드레인 부분의 단면도를 도시하고 있는데, 이들 단면도는 도 40a의 평면 A-A로부터 얻어진 것이다. 도 40b에서는, 실리사이드화 공정 후에, 금속 실리사이드를 형성하는데 이용된 잔여 금속이 제거되어, 컨택 플러그(146)이 실리사이드 영역(144)와 접촉하게 된다. 도 40c에서는, 금속 실리사이드를 형성하는데 이용된 잔여 금속(148)이 제거되지 않는데, 이 금속(148)은 니켈, 티탄, 코발트 등을 포함하는 것이다.
본 개시의 실시형태는 몇몇 효과적인 특징을 갖는다. 도 40b에 도시하는 바와 같이, 인접한 STI 영역들(42)은 STI 영역들(42) 사이의 기판(20)의 스트립부의 폭인 간격(W1)을 갖는다. 소스/드레인 영역(58)은 폭 W1의 하위 부분(58C)을 갖는다. 소스/드레인 영역(58)은 또한 폭 W1보다 큰 폭 W2의 상위 부분(58A/58B)을 갖는다. 예를 들어, 폭(W1)은 약 2 nm와 약 6 nm 사이의 범위 내일 수 있고, 폭(W2)은 약 6 nm와 약 12 nm 사이의 범위 내일 수 있다. 폭의 차이(W2-W1)에서 기인해 유전체층(130)(도 32)이 형성 및 제거된다. 따라서, 소스/드레인 영역의 폭은 하위 부분의 기판부의 폭보다 큰 것이 바람직하다. 또한, 유전체 템플릿을 형성하고 그 템플릿으로부터 소스/드레인 영역을 형성한 다음에 소스/드레인 영역을 트리밍함으로써, 그렇게 형성된 소스/드레인 영역은 여전히 수직 측벽을 가지면서 큰 높이를 가질 수 있다. 따라서, 소스/드레인 영역의 높은 수직 측벽 상에 실리사이드 영역이 형성되어 소스/드레인 접촉 저항이 감소함으로써, 최종 FinFET에서 포화 전류가 증가한다.
본 개시의 일부 실시형태에 따르면, 디바이스는 반도체 기판 내로 연장되는 절연 영역들을 포함하고, 상기 절연 영역의 대향하는 부분들 사이의 기판 스트립은 제1 폭을 갖는다. 소스/드레인 영역은 기판 스트립을 오버랩핑하는 부분을 가지며, 상기 소스/드레인 영역의 상위 부분은 제1 폭보다 큰 제2 폭을 갖는다. 소스/드레인 영역의 상위 부분은 실질적으로 수직 측벽을 갖는다. 소스/드레인 실리사이드 영역은 상기 소스/드레인 영역의 수직 측벽과 접촉하는 내측벽을 갖는다.
본 개시의 대안적 실시형태에 따르면, 디바이스는 STI 영역과, 상기 STI 영역의 대향하는 부분들 사이의 반도체 스트립과, 상기 반도체 스트립을 오버랩핑하는 산화물 영역을 포함한다. 소스/드레인 영역이 산화물 영역을 오버랩핑한다. 소스/드레인 영역은 하위 부분과, 하위 부분 위의 상위 부분을 갖고, 상기 반도체 스트립, 상기 산화물 영역, 및 상기 소스/드레인 영역의 각각의 엣지부는 실질적으로 얼라인된다. 상기 상위 부분은 실질적으로 수직 측벽을 갖고, 상기 상위 부분은 상기 하위 부분의 각각의 엣지부를 지나 측방향으로 연장된다.
본 개시의 대안적 실시형태에 따르면, 방법은, 절연 영역의 상단면 위로 돌출하는 반도체 핀을 형성하는 단계와, 상기 반도체 핀의 중앙부를 덮는 게이트 스택을 형성하는 단계를 포함한다. 반도체 핀의 단부는 상기 게이트 스택에 의해 덮이지 않는다. 상기 반도체 핀의 단부의 양측 상에 유전체 템플릿이 형성된다. 상기 반도체 핀의 단부는 상기 유전체 템플릿 사이에 오목부를 형성하도록 에칭된다. 상기 오목부로부터 소스/드레인 영역이 성장하며, 상기 소스/드레인 영역은 오목부 내의 제1 부분과, 상기 유전체 템플릿 위의 제2 부분을 갖는다. 제2 부분은 제1 부분보다 폭이 넓다. 상기 소스/드레인 영역의 제2 부분은 트리밍되어 그 폭이 줄어든다.
이상은 당업자가 본 개시의 양태를 더 잘 이해할 수 있도록 여러 실시형태의 특징을 개관한 것이다. 당업자라면 동일한 목적을 달성하기 위한 다른 공정 및 구조를 설계 또는 변형하고/하거나 본 명세서에 소개하는 실시형태들의 동일한 효과를 달성하기 위한 기본으로서 본 개시를 용이하게 이용할 수 있다고 생각할 것이다. 또한 당업자라면 그러한 등가의 구조가 본 개시의 사상 및 범주에서 벗어나지 않는다는 것과, 본 개시의 사상 및 범주에서 일탈하는 일없이 다양한 변화, 대체 및 변형이 이루어질 수 있다는 것을 인식할 것이다.

Claims (10)

  1. 디바이스에 있어서,
    반도체 기판 내로 연장되는 절연 영역들로서, 상기 절연 영역들의 대향하는 부분들 사이의 기판 스트립이 제1 폭을 갖는 것인 상기 절연 영역들;
    유전체 에칭 정지층으로서,
    상기 절연 영역들의 상단면과 접촉하는 하단면을 갖는 수평 부분과,
    상기 수평 부분의 단부에 접속된 하단부를 포함하는 수직 부분
    을 포함하는, 상기 유전체 에칭 정지층;
    상기 기판 스트립을 오버랩핑하는 부분을 구비하는 소스/드레인 영역으로서, 상기 소스/드레인 영역의 상위 부분은 상기 제1 폭보다 큰 제2 폭을 갖고, 상기 소스/드레인 영역의 상위 부분은 수직 측벽들을 가지며, 상기 소스/드레인 영역은 상기 유전체 에칭 정지층의 상기 수직 부분의 내측벽과 접촉하는 측벽을 포함하는 것인, 상기 소스/드레인 영역; 및
    상기 소스/드레인 영역의 상기 수직 측벽들과 접촉하는 내측벽들을 갖는 소스/드레인 실리사이드 영역
    을 포함하는 디바이스.
  2. 삭제
  3. 제1항에 있어서, 상기 유전체 에칭 정지층의 상기 수직 부분은 상기 소스/드레인 실리사이드 영역의 하단부와 접촉하는 상단부를 포함하는 것인, 디바이스.
  4. 제1항에 있어서, 측벽을 갖는 컨택 플러그를 더 포함하고,
    상기 컨택 플러그는,
    상기 소스/드레인 실리사이드 영역의 외측벽과 접촉하는 상위 부분과,
    상기 유전체 에칭 정지층의 상기 수직 부분의 외측벽과 접촉하는 하위 부분
    을 포함하는 것인, 디바이스.
  5. 제1항에 있어서, 상기 소스/드레인 영역에 의해 오버랩핑되고 상기 기판 스트립을 오버랩핑하는 실리콘 게르마늄 산화물 영역을 더 포함하는 디바이스.
  6. 제5항에 있어서, 상기 실리콘 게르마늄 산화물 영역은 상기 기판 스트립의 각각의 측벽과 얼라인되는 측벽을 갖는 것인, 디바이스.
  7. 제1항에 있어서, 상기 소스/드레인 영역은 상기 제1 폭과 같은 폭을 갖는 하위 부분을 더 포함하는 것인, 디바이스.
  8. 디바이스에 있어서,
    STI(Shallow Trench Isolation) 영역들;
    상기 STI 영역들의 대향하는 부분들 사이의 반도체 스트립;
    상기 반도체 스트립을 오버랩핑하는 산화물 영역;
    상기 산화물 영역을 오버랩핑하고, 하위 부분 및 상기 하위 부분 위의 상위 부분을 포함하는 소스/드레인 영역으로서, 상기 반도체 스트립, 상기 산화물 영역, 및 상기 소스/드레인 영역의 각각의 엣지부가 얼라인되고, 상기 상위 부분은 수직 측벽들을 포함하며, 상기 상위 부분은 상기 하위 부분의 각각의 엣지부를 지나 측방향으로 연장되는 것인, 상기 소스/드레인 영역; 및
    상기 소스/드레인 영역의 상기 하위 부분의 대향하는 면들 상의 부분들을 포함하는 유전체 에칭 정지층으로서, 상기 유전체 에칭 정지층의 측벽들은 상기 소스/드레인 영역의 상기 하위 부분의 측벽들과 접촉하는 것인, 상기 유전체 에칭 정지층
    을 포함하는 디바이스.
  9. 삭제
  10. 디바이스를 형성하는 방법에 있어서,
    절연 영역들의 상단면들 위로 돌출하는 반도체 핀을 형성하는 단계;
    유전체 에칭 정지층을 형성하는 단계로서,
    상기 반도체 핀의 측벽들 상에 등각 유전체층을 형성하는 단계;
    상기 등각 유전체층의 하위 부분의 측벽과 접촉하는 유전체 영역을 형성하는 단계; 및
    상기 유전체 영역의 상단면 위에 있는 등각 유전체층의 부분들을 제거하는 단계로서, 상기 유전체 영역의 상기 상단면보다 아래에 있는 상기 등각 유전체층의 잔여 부분이 상기 유전체 에칭 정지층을 형성하는 것인, 상기 제거 단계
    를 포함하는, 상기 유전체 에칭 정지층 형성 단계;
    상기 반도체 핀의 중앙부를 덮는 게이트 스택을 형성하는 단계로서, 상기 반도체 핀의 단부는 상기 게이트 스택에 의해 덮이지 않는 것인, 상기 게이트 스택 형성 단계;
    상기 반도체 핀의 상기 단부의 대향하는 면들 상에 유전체 템플릿들을 형성하는 단계;
    상기 유전체 템플릿들 사이에 오목부를 형성하기 위해 상기 반도체 핀의 상기 단부를 에칭하는 단계;
    상기 오목부로부터 소스/드레인 영역을 성장시키는 단계로서, 상기 소스/드레인 영역은 상기 오목부 내의 제1 부분 및 상기 유전체 템플릿들 위의 제2 부분을 포함하며, 상기 제2 부분은 상기 제1 부분보다 폭이 넓은 것인, 상기 소스/드레인 영역 성장 단계; 및
    상기 제2 부분의 폭을 줄이기 위해서 상기 제2 부분을 트리밍하는 단계
    를 포함하는 디바이스 형성 방법.
KR1020150085680A 2015-02-12 2015-06-17 랩어라운드 실리사이드를 구비한 finfet 및 그 형성 방법 KR101709400B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201562115568P 2015-02-12 2015-02-12
US62/115,568 2015-02-12
US14/675,215 US9608116B2 (en) 2014-06-27 2015-03-31 FINFETs with wrap-around silicide and method forming the same
US14/675,215 2015-03-31

Publications (2)

Publication Number Publication Date
KR20160099447A KR20160099447A (ko) 2016-08-22
KR101709400B1 true KR101709400B1 (ko) 2017-02-22

Family

ID=56854931

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020150085680A KR101709400B1 (ko) 2015-02-12 2015-06-17 랩어라운드 실리사이드를 구비한 finfet 및 그 형성 방법

Country Status (4)

Country Link
US (4) US9608116B2 (ko)
KR (1) KR101709400B1 (ko)
CN (1) CN105895693B (ko)
TW (1) TWI575739B (ko)

Cited By (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190062272A (ko) * 2017-11-28 2019-06-05 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 이의 제조 방법
KR20200050353A (ko) * 2018-10-31 2020-05-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스의 제조 방법 및 반도체 디바이스

Families Citing this family (479)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US8367498B2 (en) 2010-10-18 2013-02-05 Taiwan Semiconductor Manufacturing Company, Ltd. Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9281363B2 (en) 2014-04-18 2016-03-08 Taiwan Semiconductor Manufacturing Company Ltd. Circuits using gate-all-around technology
KR102374108B1 (ko) * 2015-06-02 2022-03-14 삼성전자주식회사 스트레서를 갖는 반도체 장치 및 그 제조 방법
US9716142B2 (en) * 2015-10-12 2017-07-25 International Business Machines Corporation Stacked nanowires
US9899387B2 (en) * 2015-11-16 2018-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate device and method of fabrication thereof
US9960273B2 (en) * 2015-11-16 2018-05-01 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure with substrate isolation and un-doped channel
US9679965B1 (en) * 2015-12-07 2017-06-13 Samsung Electronics Co., Ltd. Semiconductor device having a gate all around structure and a method for fabricating the same
CN107154355B (zh) * 2016-03-03 2020-04-10 上海新昇半导体科技有限公司 鳍状场效应晶体管及其制备方法
US9893120B2 (en) 2016-04-15 2018-02-13 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of forming the same
KR102429611B1 (ko) * 2016-06-10 2022-08-04 삼성전자주식회사 반도체 장치 제조 방법
US10170378B2 (en) 2016-11-29 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all-around semiconductor device and manufacturing method thereof
US10672824B2 (en) 2016-11-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Image sensor
US10522694B2 (en) * 2016-12-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing semiconductor device
EP3339244A1 (en) * 2016-12-21 2018-06-27 IMEC vzw Source and drain contacts in fin- or nanowire- based semiconductor devices.
US10381468B2 (en) * 2017-03-21 2019-08-13 International Business Machines Corporation Method and structure for forming improved single electron transistor with gap tunnel barriers
US10170374B2 (en) 2017-03-23 2019-01-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US11923252B2 (en) 2017-03-23 2024-03-05 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method for manufacturing the same
US10804375B2 (en) 2017-03-23 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US10483380B2 (en) 2017-04-20 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10475908B2 (en) 2017-04-25 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of fabricating the same
US10665569B2 (en) 2017-05-25 2020-05-26 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor device and method for fabricating the same
US10269800B2 (en) 2017-05-26 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical gate semiconductor device with steep subthreshold slope
US10727131B2 (en) 2017-06-16 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain epitaxy re-shaping
US10510840B2 (en) 2017-06-20 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. GAA FET with u-shaped channel
US10483267B2 (en) 2017-06-30 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Eight-transistor static random-access memory, layout thereof, and method for manufacturing the same
US10181524B1 (en) 2017-07-14 2019-01-15 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical transistor device and method for fabricating the same
US10134640B1 (en) 2017-07-18 2018-11-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with semiconductor wire
US10211307B2 (en) 2017-07-18 2019-02-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of manufacturing inner spacers in a gate-all-around (GAA) FET through multi-layer spacer replacement
CN109300972A (zh) * 2017-07-24 2019-02-01 中芯国际集成电路制造(天津)有限公司 Finfet器件及其形成方法
CN109390400A (zh) * 2017-08-08 2019-02-26 中芯国际集成电路制造(上海)有限公司 环栅场效应晶体管及其形成方法
US10699956B2 (en) 2017-08-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10403550B2 (en) 2017-08-30 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10374059B2 (en) 2017-08-31 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowires
US10290548B2 (en) 2017-08-31 2019-05-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with semiconductor wire
US10297508B2 (en) 2017-08-31 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10121870B1 (en) 2017-08-31 2018-11-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with strain-relaxed buffer
US10629679B2 (en) 2017-08-31 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10332985B2 (en) 2017-08-31 2019-06-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN109494190B (zh) * 2017-09-11 2020-07-10 中芯国际集成电路制造(上海)有限公司 一种鳍式场效应半导体的形成方法
US10453752B2 (en) * 2017-09-18 2019-10-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a gate-all-around semiconductor device
US10679988B2 (en) 2017-09-18 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including FinFETS having different channel heights and manufacturing method thereof
US10269914B2 (en) * 2017-09-27 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10535736B2 (en) 2017-09-28 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Fully strained channel
US10297636B2 (en) * 2017-09-28 2019-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Method for fabricating complementary metal-oxide-semiconductor image sensor
US10403545B2 (en) 2017-09-28 2019-09-03 Taiwan Semiconductor Manufacturing Co., Ltd. Power reduction in finFET structures
US10325993B2 (en) 2017-09-28 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device and fabrication thereof
US10516032B2 (en) 2017-09-28 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device
US10497624B2 (en) 2017-09-29 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10483372B2 (en) 2017-09-29 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Spacer structure with high plasma resistance for semiconductor devices
US10505021B2 (en) 2017-09-29 2019-12-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFet device and method of forming the same
US10804367B2 (en) * 2017-09-29 2020-10-13 Taiwan Semiconductor Manufacturing Co., Ltd. Gate stacks for stack-fin channel I/O devices and nanowire channel core devices
US10269965B1 (en) 2017-10-25 2019-04-23 Taiwan Semiconductor Manufacturing Company Ltd. Multi-gate semiconductor device and method for forming the same
US10672742B2 (en) 2017-10-26 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10325912B2 (en) 2017-10-30 2019-06-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US11380803B2 (en) 2017-10-30 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10868127B2 (en) 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and manufacturing method for the same
US10714592B2 (en) 2017-10-30 2020-07-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10818777B2 (en) * 2017-10-30 2020-10-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10867866B2 (en) 2017-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10535738B2 (en) 2017-10-31 2020-01-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method of the same
US10431696B2 (en) 2017-11-08 2019-10-01 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device structure with nanowire
US10847622B2 (en) 2017-11-13 2020-11-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming source/drain structure with first and second epitaxial layers
US10269576B1 (en) 2017-11-15 2019-04-23 Taiwan Semiconductor Manufacturing Co., Ltd. Etching and structures formed thereby
US10515948B2 (en) 2017-11-15 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including vertical routing structure and method for manufacturing the same
US10680106B2 (en) 2017-11-15 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming source/drain epitaxial stacks
US10483168B2 (en) 2017-11-15 2019-11-19 Taiwan Semiconductor Manufacturing Co., Ltd. Low-k gate spacer and formation thereof
US10355102B2 (en) 2017-11-15 2019-07-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10867809B2 (en) 2017-11-22 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Laser anneal process
US10672613B2 (en) 2017-11-22 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming semiconductor structure and semiconductor device
US10374038B2 (en) 2017-11-24 2019-08-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device source/drain region with arsenic-containing barrier region
US10510883B2 (en) 2017-11-28 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. Asymmetric source and drain structures in semiconductor devices
US11183423B2 (en) 2017-11-28 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Liner structure in interlayer dielectric structure for semiconductor devices
US11114549B2 (en) 2017-11-29 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure cutting process and structures formed thereby
US10510889B2 (en) 2017-11-29 2019-12-17 Taiwan Semiconductor Manufacturing Co., Ltd. P-type strained channel in a fin field effect transistor (FinFET) device
US10516039B2 (en) 2017-11-30 2019-12-24 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10700066B2 (en) 2017-11-30 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
DE102018106581B4 (de) 2017-11-30 2020-07-09 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-Bauelement und Verfahren zu dessen Herstellung
US10475929B2 (en) 2017-11-30 2019-11-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10748774B2 (en) 2017-11-30 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10923595B2 (en) 2017-11-30 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having a SiGe epitaxial layer containing Ga
US10672889B2 (en) 2017-11-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10497778B2 (en) 2017-11-30 2019-12-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10629749B2 (en) 2017-11-30 2020-04-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of treating interfacial layer on silicon germanium
US10749007B2 (en) 2018-03-14 2020-08-18 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure with desired profile for semiconductor devices
US10943822B2 (en) 2018-03-15 2021-03-09 Taiwan Semiconductor Manufacturing Co., Ltd. Forming gate line-end of semiconductor structures
US10763363B2 (en) 2018-04-10 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Gradient doped region of recessed fin forming a FinFET device
US10854715B2 (en) 2018-04-13 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Supportive layer in source/drains of FinFET devices
US10854714B2 (en) 2018-04-20 2020-12-01 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium containing nanowires and methods for forming the same
US10276719B1 (en) 2018-04-30 2019-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10553492B2 (en) * 2018-04-30 2020-02-04 Taiwan Semiconductor Manufacturing Company, Ltd. Selective NFET/PFET recess of source/drain regions
US11245005B2 (en) 2018-05-14 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor structure with extended contact structure
US10522622B2 (en) 2018-05-14 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-gate semiconductor device and method for forming the same
US11398476B2 (en) 2018-05-16 2022-07-26 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with hybrid fins
US10756089B2 (en) 2018-05-16 2020-08-25 Taiwan Semiconductor Manufacturing Co., Ltd. Hybrid semiconductor transistor structure and manufacturing method for the same
US10636878B2 (en) 2018-05-18 2020-04-28 Taiwan Semiconductor Manufacturing Co., Ltd. Steep sloped vertical tunnel field-effect transistor
US10825933B2 (en) * 2018-06-11 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around structure and manufacturing method for the same
US10522390B1 (en) 2018-06-21 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Shallow trench isolation for integrated circuits
US10651314B2 (en) 2018-06-26 2020-05-12 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with inner spacer and methods for producing the same
US11043556B2 (en) 2018-06-26 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Local epitaxy nanofilms for nanowire stack GAA device
US10790391B2 (en) 2018-06-27 2020-09-29 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial layer profile
US11031239B2 (en) 2018-06-29 2021-06-08 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium nanosheets and methods of forming the same
US11437497B2 (en) 2018-06-29 2022-09-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10672899B2 (en) 2018-06-29 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Tunnel field-effect transistor with reduced trap-assisted tunneling leakage
US11011623B2 (en) 2018-06-29 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Method for increasing germanium concentration of FIN and resulting semiconductor device
US10861750B2 (en) 2018-07-02 2020-12-08 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US10872825B2 (en) 2018-07-02 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11114566B2 (en) * 2018-07-12 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US10748808B2 (en) * 2018-07-16 2020-08-18 Taiwan Semiconductor Manufacturing Company, Ltd. Dielectric gap-filling process for semiconductor device
US10700180B2 (en) 2018-07-27 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and manufacturing method thereof
US11296236B2 (en) 2018-07-30 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10707333B2 (en) 2018-07-30 2020-07-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10784278B2 (en) 2018-07-30 2020-09-22 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method thereof
US10672879B2 (en) 2018-07-30 2020-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming FinFET and gate-all-around FET with selective high-K oxide deposition
US10879394B2 (en) 2018-07-31 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US11038043B2 (en) 2018-07-31 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11227918B2 (en) 2018-07-31 2022-01-18 Taiwan Semiconductor Manufacturing Company, Ltd. Melt anneal source and drain regions
US11114303B2 (en) 2018-07-31 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around device, method for manufacturing FinFET device, and method for manufacturing gate all around device
US10886182B2 (en) 2018-07-31 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11211479B2 (en) 2018-08-14 2021-12-28 Taiwan Semiconductor Manufaciuring Co., Ltd. Method of fabricating trimmed fin and fin structure
US10720503B2 (en) 2018-08-14 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device
US11362001B2 (en) 2018-08-14 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing nanostructures with various widths
US11018226B2 (en) 2018-08-14 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10763255B2 (en) 2018-08-14 2020-09-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
CN110828541B (zh) * 2018-08-14 2023-05-16 中芯国际集成电路制造(北京)有限公司 半导体结构及其形成方法
US10522623B1 (en) 2018-08-15 2019-12-31 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium nitride layers on semiconductor structures, and methods for forming the same
US11024550B2 (en) 2018-08-16 2021-06-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11152491B2 (en) 2018-08-23 2021-10-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with inner spacer layer
US11264380B2 (en) 2018-08-27 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US11043578B2 (en) 2018-08-30 2021-06-22 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device with inner spacer
US10867862B2 (en) 2018-08-31 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor method and device
US10886269B2 (en) 2018-09-18 2021-01-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11018012B2 (en) 2018-09-21 2021-05-25 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures with deposited silicide layers
US11245011B2 (en) 2018-09-25 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Vertical tunnel field-effect transistor with U-shaped gate and band aligner
US11626507B2 (en) 2018-09-26 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing FinFETs having barrier layers with specified SiGe doping concentration
US11038036B2 (en) 2018-09-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Separate epitaxy layers for nanowire stack GAA device
US10991630B2 (en) 2018-09-27 2021-04-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US10840152B2 (en) 2018-09-27 2020-11-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10879355B2 (en) 2018-09-27 2020-12-29 Taiwan Semiconductor Manufacturing Company, Ltd. Profile design for improved device performance
US11094826B2 (en) 2018-09-27 2021-08-17 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of forming same
US10923659B2 (en) 2018-09-27 2021-02-16 Taiwan Semiconductor Manufacturing Co., Ltd. Wafers for use in aligning nanotubes and methods of making and using the same
US11024729B2 (en) 2018-09-27 2021-06-01 Taiwan Semiconductor Manufacturing Co., Ltd Method for manufacturing semiconductor device
US11069812B2 (en) 2018-09-28 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US11264237B2 (en) 2018-09-28 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Method of epitaxy and semiconductor device
US10872805B2 (en) 2018-09-28 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10680075B2 (en) 2018-09-28 2020-06-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device including source/drain epitaxial layer having facets and manufacturing method thereof
US10867861B2 (en) 2018-09-28 2020-12-15 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistor device and method of forming the same
US10950725B2 (en) 2018-09-28 2021-03-16 Taiwan Semiconductor Manufacturing Company, Ltd. Epitaxial source/drain structure and method of forming same
US11482522B2 (en) 2018-10-08 2022-10-25 Samsung Electronics Co., Ltd. Semiconductor devices including a narrow active pattern
US10872906B2 (en) 2018-10-25 2020-12-22 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US10727134B2 (en) 2018-10-30 2020-07-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices with gate-all-around structure
US10868114B2 (en) 2018-10-30 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures of semiconductor devices
US11087987B2 (en) 2018-10-31 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11038029B2 (en) 2018-11-08 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US10840345B2 (en) 2018-11-13 2020-11-17 International Business Machines Corporation Source and drain contact cut last process to enable wrap-around-contact
US11296077B2 (en) 2018-11-19 2022-04-05 Taiwan Semiconductor Manufacturing Company, Ltd. Transistors with recessed silicon cap and method forming same
US11257928B2 (en) 2018-11-27 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for epitaxial growth and device
US11276832B2 (en) 2018-11-28 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with diffusion break and method
US11101360B2 (en) * 2018-11-29 2021-08-24 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
DE102019115490B4 (de) 2018-11-30 2022-10-20 Taiwan Semiconductor Manufacturing Co. Ltd. Halbleiter-bauelement und verfahren zu dessen herstellung
US11387362B2 (en) 2018-11-30 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11164944B2 (en) 2018-11-30 2021-11-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
US10720431B1 (en) 2019-01-25 2020-07-21 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate-all-around structure with oxygen blocking layers
US11088150B2 (en) 2019-01-28 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11685015B2 (en) 2019-01-28 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Method and system for performing chemical mechanical polishing
US10825918B2 (en) 2019-01-29 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11211381B2 (en) 2019-01-29 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11164866B2 (en) 2019-02-20 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for manufacturing the same
US10825919B2 (en) 2019-02-21 2020-11-03 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices having gate-all-around structure with inner spacer last process
US11217526B2 (en) 2019-02-28 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with source resistor and manufacturing method thereof
US10867867B2 (en) 2019-03-14 2020-12-15 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices with mixed threshold voltages boundary isolation of multiple gates and structures formed thereby
US11164796B2 (en) 2019-03-14 2021-11-02 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US11621325B2 (en) * 2019-03-28 2023-04-04 Intel Corporation Source or drain structures with low resistivity
US11201060B2 (en) * 2019-04-17 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11038058B2 (en) 2019-04-26 2021-06-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11088034B2 (en) 2019-05-22 2021-08-10 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11183574B2 (en) 2019-05-24 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Work function layers for transistor gate electrodes
KR20200136688A (ko) 2019-05-28 2020-12-08 삼성전자주식회사 반도체 소자 및 이의 제조 방법
US11069578B2 (en) 2019-05-31 2021-07-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device
KR20200139295A (ko) * 2019-06-03 2020-12-14 삼성전자주식회사 반도체 장치
US11004725B2 (en) 2019-06-14 2021-05-11 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a FinFET device with gaps in the source/drain region
US11056573B2 (en) 2019-06-14 2021-07-06 Taiwan Semiconductor Manufacturing Company, Ltd. Implantation and annealing for semiconductor device
US11107923B2 (en) 2019-06-14 2021-08-31 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain regions of FinFET devices and methods of forming same
US11682665B2 (en) 2019-06-19 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor layout with different row heights
US11373870B2 (en) 2019-06-27 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for manufacturing semiconductor device including performing thermal treatment on germanium layer
US11244871B2 (en) 2019-06-27 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Methods of fabricating semiconductor devices for tightening spacing between nanosheets in GAA structures and structures formed thereby
US10879469B1 (en) 2019-06-28 2020-12-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a field effect transistor using nanotube structures and a field effect transistor
US11049774B2 (en) 2019-07-18 2021-06-29 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid source drain regions formed based on same Fin and methods forming same
US10985266B2 (en) 2019-08-20 2021-04-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method of gap filling for semiconductor device
US11245029B2 (en) * 2019-08-22 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11114345B2 (en) 2019-08-22 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. IC including standard cells and SRAM cells
US10985265B2 (en) 2019-08-22 2021-04-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure
US11456368B2 (en) 2019-08-22 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with hard mask layer over fin structure and method for forming the same
US11101180B2 (en) 2019-08-23 2021-08-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacture
US11133416B2 (en) 2019-08-23 2021-09-28 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming semiconductor devices having plural epitaxial layers
US10978344B2 (en) 2019-08-23 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Melting laser anneal of epitaxy regions
US11011372B2 (en) 2019-08-23 2021-05-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture
US11315936B2 (en) 2019-08-29 2022-04-26 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and manufacturing method thereof
US11239368B2 (en) 2019-08-30 2022-02-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11462614B2 (en) 2019-08-30 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11355363B2 (en) 2019-08-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacturing
US11367782B2 (en) 2019-08-30 2022-06-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor manufacturing
US11201243B2 (en) 2019-09-03 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Nanowire stack GAA device and methods for producing the same
US11430891B2 (en) 2019-09-16 2022-08-30 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around structure with additional silicon layer and method for forming the same
US11107836B2 (en) 2019-09-16 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11114547B2 (en) 2019-09-17 2021-09-07 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor with negative capacitance dieletric structures
US11088249B2 (en) 2019-09-17 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with implant and method of manufacturing same
US11121037B2 (en) 2019-09-27 2021-09-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11222948B2 (en) 2019-09-27 2022-01-11 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method of fabricating the semiconductor structure
US11417653B2 (en) 2019-09-30 2022-08-16 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for forming the same
US11088251B2 (en) 2019-10-01 2021-08-10 Taiwan Semiconductor Manufacturing Company, Ltd. Source/drain contacts for semiconductor devices and methods of forming
US11127832B2 (en) 2019-10-01 2021-09-21 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11296227B2 (en) 2019-10-16 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices and semiconductor devices
US11522085B2 (en) 2019-10-18 2022-12-06 Taiwan Semiconductor Manufacturing Company, Ltd. Ferroelectric semiconductor device and method
US11502197B2 (en) 2019-10-18 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Source and drain epitaxial layers
US11233130B2 (en) 2019-10-25 2022-01-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11322495B2 (en) 2019-10-28 2022-05-03 Taiwan Semiconductor Manufacturing Company, Ltd. Complementary metal-oxide-semiconductor device and method of manufacturing the same
US11658245B2 (en) 2019-10-29 2023-05-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing
US11450754B2 (en) 2019-10-29 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture
US10977409B1 (en) 2019-10-29 2021-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method of generating a layout for a semiconductor device
US11355605B2 (en) 2019-10-30 2022-06-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11322619B2 (en) 2019-10-30 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11264327B2 (en) 2019-10-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Backside power rail structure and methods of forming same
US11515212B2 (en) 2019-10-30 2022-11-29 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing semiconductor devices having controlled S/D epitaxial shape
US11621195B2 (en) 2019-10-30 2023-04-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing the same
US11424242B2 (en) 2019-10-31 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with isolation structure
US11374090B2 (en) 2019-10-31 2022-06-28 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11756997B2 (en) 2019-10-31 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for forming the same
DE102020114813A1 (de) 2019-10-31 2021-05-06 Taiwan Semiconductor Manufacturing Co., Ltd. Struktur und bildungsverfahren für halbleitervorrichtung mit isolierungsstruktur
KR102284479B1 (ko) * 2019-10-31 2021-08-03 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 스트레서를 갖는 반도체 디바이스의 구조체 및 형성 방법
US11201225B2 (en) * 2019-10-31 2021-12-14 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with stressor
US11631770B2 (en) 2019-10-31 2023-04-18 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with stressor
US10964792B1 (en) 2019-11-22 2021-03-30 Taiwan Semiconductor Manufacturing Co., Ltd. Dual metal capped via contact structures for semiconductor devices
US11081401B2 (en) 2019-11-29 2021-08-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for manufacturing the same
US11133221B2 (en) 2019-12-17 2021-09-28 Taiwan Semiconductor Manufacturing Co., Ltd. Method for forming semiconductor device structure with gate electrode layer
US11545490B2 (en) 2019-12-17 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11664420B2 (en) 2019-12-26 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11444200B2 (en) 2019-12-26 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure with isolating feature and method for forming the same
US11362096B2 (en) 2019-12-27 2022-06-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11282944B2 (en) 2019-12-30 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11393925B2 (en) 2019-12-31 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure with nanostructure
US11107886B2 (en) 2020-01-10 2021-08-31 Taiwan Semiconductor Manufacturing Company Ltd. Memory device and method of fabricating the memory device
US11233156B2 (en) 2020-01-15 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and manufacturing method thereof
US11855619B2 (en) 2020-01-15 2023-12-26 Taiwan Semiconductor Manufacturing Company Ltd. Power switch circuit, IC structure of power switch circuit, and method of forming IC structure
US11139379B2 (en) 2020-01-16 2021-10-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
US11309419B2 (en) 2020-01-17 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11183584B2 (en) 2020-01-17 2021-11-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11444202B2 (en) * 2020-01-17 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11322603B2 (en) 2020-01-21 2022-05-03 Taiwan Semiconductor Manufacturing Co., Ltd. Anti-punch-through doping on source/drain region
US11251268B2 (en) 2020-01-28 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with doped structure
US11417764B2 (en) 2020-01-29 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Interface profile control in epitaxial structures for semiconductor devices
US11521969B2 (en) 2020-01-29 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures for semiconductor devices
DE102020132562B4 (de) 2020-01-30 2024-02-22 Taiwan Semiconductor Manufacturing Co., Ltd. Verfahren zur herstellung einer halbleitervorrichtung und halbleitervorrichtung
US11444177B2 (en) 2020-01-30 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11245028B2 (en) 2020-01-30 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures of semiconductor devices
DE102020119963A1 (de) 2020-01-30 2021-08-05 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren
US11522050B2 (en) 2020-01-30 2022-12-06 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11444197B2 (en) 2020-02-07 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11177180B2 (en) * 2020-02-11 2021-11-16 Taiwan Semiconductor Manufacturing Co., Ltd. Profile control of a gap fill structure
US11705372B2 (en) 2020-02-11 2023-07-18 Taiwan Semiconductor Manufacturing Co., Ltd. Fin loss prevention
US11335776B2 (en) 2020-02-11 2022-05-17 Taiwan Semiconductor Manufacturing Company, Ltd. Hybrid channel semiconductor device and method
US11158741B2 (en) 2020-02-11 2021-10-26 Taiwan Semiconductor Manufacturing Company, Ltd. Nanostructure device and method
US11854688B2 (en) 2020-02-19 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11211472B2 (en) 2020-02-24 2021-12-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming the same
US11329165B2 (en) 2020-02-26 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with isolation structure
US11264502B2 (en) 2020-02-27 2022-03-01 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11393898B2 (en) 2020-02-27 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11316046B2 (en) 2020-02-27 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11495682B2 (en) 2020-02-27 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method
US11233119B2 (en) 2020-03-02 2022-01-25 Taiwan Semiconductor Manufacturing Co., Ltd. Core-shell nanostructures for semiconductor devices
US11233149B2 (en) 2020-03-03 2022-01-25 Taiwan Semiconductor Manufacturing Co., . Ltd. Spacer structures for semiconductor devices
US11695055B2 (en) 2020-03-03 2023-07-04 Taiwan Semiconductor Manufacturing Co., Ltd. Passivation layers for semiconductor devices
US11677013B2 (en) 2020-03-30 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial layers for transistors
US11107736B1 (en) 2020-03-31 2021-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11417751B2 (en) 2020-04-01 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11257911B2 (en) 2020-04-01 2022-02-22 Taiwan Semiconductor Manufacturing Company, Ltd. Sacrificial layer for semiconductor process
US11189697B2 (en) 2020-04-01 2021-11-30 Taiwan Semiconductor Manufacturing Co., Ltd. Ultra-thin fin structure and method of fabricating the same
US11443979B2 (en) 2020-04-01 2022-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device
US11139432B1 (en) 2020-04-01 2021-10-05 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of forming a FinFET device
US11387365B2 (en) 2020-04-01 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device for recessed fin structure having rounded corners
US11495661B2 (en) 2020-04-07 2022-11-08 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device including gate barrier layer
CN113053878A (zh) 2020-04-09 2021-06-29 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11309424B2 (en) 2020-04-13 2022-04-19 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11335552B2 (en) 2020-04-17 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with oxide semiconductor channel
CN113140565A (zh) 2020-04-28 2021-07-20 台湾积体电路制造股份有限公司 半导体器件和制造方法
US11955370B2 (en) 2020-04-28 2024-04-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
TWI741935B (zh) 2020-04-28 2021-10-01 台灣積體電路製造股份有限公司 半導體元件與其製作方法
US11349004B2 (en) 2020-04-28 2022-05-31 Taiwan Semiconductor Manufacturing Co., Ltd. Backside vias in semiconductor device
US11342326B2 (en) 2020-04-28 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Self-aligned etch in semiconductor devices
US11251308B2 (en) 2020-04-28 2022-02-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11355410B2 (en) 2020-04-28 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Thermal dissipation in semiconductor devices
US11410930B2 (en) 2020-04-28 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
DE102020122823B4 (de) 2020-05-12 2022-06-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtungen mit entkopplungskondensatoren
US11450600B2 (en) 2020-05-12 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including decoupling capacitors
US11239208B2 (en) 2020-05-12 2022-02-01 Taiwan Semiconductor Manufacturing Co., Ltd. Packaged semiconductor devices including backside power rails and methods of forming the same
US11393924B2 (en) 2020-05-18 2022-07-19 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with high contact area
US11217629B2 (en) 2020-05-19 2022-01-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
DE102020127567A1 (de) 2020-05-20 2021-11-25 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleitervorrichtung und verfahren zu ihrer herstellung
US11437492B2 (en) 2020-05-20 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacture
US11282843B2 (en) 2020-05-22 2022-03-22 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device, SRAM cell, and manufacturing method thereof
TWI762196B (zh) 2020-05-26 2022-04-21 台灣積體電路製造股份有限公司 半導體裝置與其製造方法
US11295989B2 (en) 2020-05-26 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures for semiconductor devices
US11637101B2 (en) 2020-05-26 2023-04-25 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11532703B2 (en) 2020-05-27 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11417767B2 (en) 2020-05-27 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices including backside vias and methods of forming the same
US11682711B2 (en) 2020-05-28 2023-06-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having multi-layered gate spacers
US11600528B2 (en) 2020-05-28 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor structure and method for forming the same
DE102021102939A1 (de) 2020-05-28 2021-12-02 Taiwan Semiconductor Manufacturing Co., Ltd. Halbleiterbauelemente und herstellungsverfahren
US11380768B2 (en) 2020-05-28 2022-07-05 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11862561B2 (en) 2020-05-28 2024-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside routing and method of forming same
US11532731B2 (en) 2020-05-28 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11444198B2 (en) 2020-05-29 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Work function control in gate structures
US11715777B2 (en) 2020-05-29 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11264283B2 (en) 2020-05-29 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Multi-channel devices and methods of manufacture
US11935793B2 (en) 2020-05-29 2024-03-19 Taiwan Semiconductor Manufacturing Co., Ltd. Dual dopant source/drain regions and methods of forming same
US11664374B2 (en) 2020-05-29 2023-05-30 Taiwan Semiconductor Manufacturing Co., Ltd. Backside interconnect structures for semiconductor devices and methods of forming the same
US11723209B2 (en) 2020-05-29 2023-08-08 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory device and manufacturing method thereof
CN113299648A (zh) 2020-06-05 2021-08-24 台湾积体电路制造股份有限公司 半导体器件及其制造方法
US11699735B2 (en) 2020-06-05 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11424347B2 (en) 2020-06-11 2022-08-23 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11417777B2 (en) 2020-06-11 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Enlargement of GAA nanostructure
US11271113B2 (en) 2020-06-12 2022-03-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11417571B2 (en) 2020-06-12 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Dopant profile control in gate structures for semiconductor devices
US11296095B2 (en) 2020-06-12 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Memory device and method for forming the same
US11342334B2 (en) 2020-06-15 2022-05-24 Taiwan Semiconductor Manufacturing Co., Ltd. Memory cell and method
US11367784B2 (en) 2020-06-15 2022-06-21 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11296080B2 (en) 2020-06-15 2022-04-05 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain regions of semiconductor devices and methods of forming the same
US11527630B2 (en) 2020-06-24 2022-12-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method for fabricating the same
US11563006B2 (en) 2020-06-24 2023-01-24 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure and method for manufacturing thereof
US11728171B2 (en) 2020-06-25 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with metal gate fill structure
US11699736B2 (en) 2020-06-25 2023-07-11 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structure and method
US11532714B2 (en) 2020-06-25 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming thereof
US11489075B2 (en) 2020-06-29 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11387233B2 (en) 2020-06-29 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11848238B2 (en) 2020-06-30 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for manufacturing semiconductor devices with tunable low-k inner air spacers
US11264513B2 (en) 2020-06-30 2022-03-01 Taiwan Semiconductor Manufacturing Co., Ltd. Isolation structures for transistors
US11462549B2 (en) 2020-06-30 2022-10-04 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of fabricating the same
US11729967B2 (en) 2020-07-08 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Capacitor, memory device, and method
US11903189B2 (en) 2020-07-09 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Three-dimensional memory and fabricating method thereof
US11437371B2 (en) 2020-07-10 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistors with negative capacitance layers
US11855185B2 (en) * 2020-07-16 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Multilayer masking layer and method of forming same
US11195930B1 (en) 2020-07-22 2021-12-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof
US11804531B2 (en) 2020-07-23 2023-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Thin film transfer using substrate with etch stop layer and diffusion barrier layer
US11329163B2 (en) 2020-07-27 2022-05-10 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11903213B2 (en) 2020-07-29 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and method for making same
US11557510B2 (en) 2020-07-30 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including backside power rails
US11417745B2 (en) 2020-07-30 2022-08-16 Taiwan Semiconductor Manufacturing Co., Ltd. Structure and formation method of semiconductor device with metal gate stack
US11456209B2 (en) 2020-07-31 2022-09-27 Taiwan Semiconductor Manufacturing Co., Ltd. Spacers for semiconductor devices including a backside power rails
US11245023B1 (en) 2020-07-31 2022-02-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11217494B1 (en) 2020-07-31 2022-01-04 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11444199B2 (en) 2020-08-03 2022-09-13 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11489057B2 (en) 2020-08-07 2022-11-01 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structures in semiconductor devices
US11335806B2 (en) 2020-08-11 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and method for forming the same
US11557518B2 (en) 2020-08-12 2023-01-17 Taiwan Semiconductor Manufacturing Co., Ltd. Gapfill structure and manufacturing methods thereof
US11610890B2 (en) 2020-08-13 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Epitaxy regions extending below STI regions and profiles thereof
US11653581B2 (en) 2020-08-13 2023-05-16 Taiwan Semiconductor Manufacturing Co., Ltd. RRAM device structure and manufacturing method
US11315834B2 (en) 2020-08-13 2022-04-26 Taiwan Semiconductor Manufacturing Co., Ltd. FinFETs with epitaxy regions having mixed wavy and non-wavy portions
US11974441B2 (en) 2020-08-13 2024-04-30 Taiwan Semiconductor Manufacturing Co., Ltd. Memory array including epitaxial source lines and bit lines
US11610979B2 (en) 2020-08-13 2023-03-21 Taiwan Semiconductor Manufacturing Co., Ltd. Profile control in forming epitaxy regions for transistors
US11437474B2 (en) 2020-08-17 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Gate structures in transistors and method of forming same
US11757021B2 (en) * 2020-08-18 2023-09-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with fin-top hard mask and methods for fabrication thereof
US11532607B2 (en) 2020-08-19 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. ESD structure and semiconductor structure
US11335606B2 (en) 2020-08-19 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Power rails for stacked semiconductor device
US11508621B2 (en) 2020-08-21 2022-11-22 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11676864B2 (en) 2020-08-27 2023-06-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11302693B2 (en) 2020-08-31 2022-04-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11469326B2 (en) 2020-09-18 2022-10-11 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of fabrication thereof
US11450569B2 (en) 2020-09-18 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and forming method thereof
CN112201623A (zh) * 2020-09-21 2021-01-08 上海华力集成电路制造有限公司 FinFET中接触插塞的形成工艺
US11569234B2 (en) 2020-09-21 2023-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11387322B2 (en) 2020-09-21 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11355398B2 (en) 2020-09-21 2022-06-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device structure and methods of forming the same
US11502034B2 (en) 2020-09-21 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices with backside power rail and methods of fabrication thereof
US11551969B2 (en) 2020-09-23 2023-01-10 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit structure with backside interconnection structure having air gap
US11728173B2 (en) 2020-09-30 2023-08-15 Taiwan Semiconductor Manufacturing Co., Ltd. Masking layer with post treatment
US11721593B2 (en) 2020-09-30 2023-08-08 Tiawan Semiconductor Manufacturing Co., Ltd. Source/drain epitaxial structures for semiconductor devices
US11626485B2 (en) 2020-10-14 2023-04-11 Taiwan Semiconductor Manufacturing Co., Ltd. Field effect transistor and method
US11594610B2 (en) 2020-10-15 2023-02-28 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11450743B2 (en) 2020-10-21 2022-09-20 Taiwan Semiconductor Manufacturing Co., Ltd. Method of forming a semiconductor device with implantation of impurities at high temperature
US11737254B2 (en) 2020-10-21 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Memory device and layout, manufacturing method of the same
US11765892B2 (en) 2020-10-21 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory device and method of manufacture
US11276604B1 (en) 2020-10-27 2022-03-15 Taiwan Semiconductor Manufacturing Co., Ltd. Radical-activated etching of metal oxides
US11688807B2 (en) 2020-10-27 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and methods of forming
US11495463B2 (en) 2020-10-27 2022-11-08 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method thereof
US11502201B2 (en) 2020-10-27 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device with backside power rail and methods of fabrication thereof
US11569223B2 (en) 2020-10-30 2023-01-31 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit and method for fabricating the same
CN114284267A (zh) 2020-11-13 2022-04-05 台湾积体电路制造股份有限公司 集成电路及其制造方法
US11854831B2 (en) 2020-11-24 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Cleaning process for source/drain epitaxial structures
US11450664B2 (en) 2020-11-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11450663B2 (en) 2020-11-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11450666B2 (en) 2020-11-25 2022-09-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including two-dimensional material and methods of fabrication thereof
US11508807B2 (en) 2020-11-25 2022-11-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11777014B2 (en) 2021-01-04 2023-10-03 Taiwan Semiconductor Manufacturing Co., Ltd. Controlled doping in a gate dielectric layer
US11594455B2 (en) 2021-01-05 2023-02-28 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method for the same
US11948939B2 (en) 2021-01-13 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd Profile control of gate structures in semiconductor devices
US11502081B2 (en) 2021-01-14 2022-11-15 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11749566B2 (en) 2021-01-15 2023-09-05 Taiwan Semiconductor Manufacturing Co., Ltd. Inner filler layer for multi-patterned metal gate for nanostructure transistor
US11996317B2 (en) 2021-01-15 2024-05-28 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for forming isolation regions by depositing and oxidizing a silicon liner
US11411079B1 (en) 2021-01-21 2022-08-09 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11688786B2 (en) 2021-01-22 2023-06-27 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11588018B2 (en) 2021-01-28 2023-02-21 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with nanostructure and method for forming the same
US11810961B2 (en) 2021-01-28 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
US11715762B2 (en) 2021-01-28 2023-08-01 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
US11637180B2 (en) 2021-01-28 2023-04-25 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor gate structures and methods of forming the same
US11600703B2 (en) 2021-01-29 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Germanium tin gate-all-around device
US11581410B2 (en) 2021-02-12 2023-02-14 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11563109B2 (en) 2021-02-19 2023-01-24 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11640941B2 (en) 2021-02-25 2023-05-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices including metal gate protection and methods of fabrication thereof
US11688767B2 (en) 2021-02-25 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11652140B2 (en) 2021-02-25 2023-05-16 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11569348B2 (en) 2021-02-26 2023-01-31 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of fabrication thereof
US11848209B2 (en) 2021-02-26 2023-12-19 Taiwan Semiconductor Manufacturing Co., Ltd. Patterning semiconductor devices and structures resulting therefrom
US11735483B2 (en) 2021-02-26 2023-08-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming epitaxial source/drain features using a self-aligned mask and semiconductor devices fabricated thereof
US11532628B2 (en) 2021-02-26 2022-12-20 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11887985B2 (en) 2021-03-04 2024-01-30 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11387109B1 (en) 2021-03-05 2022-07-12 Taiwan Semiconductor Manufacturing Co., Ltd. CMP process and methods thereof
US11527614B2 (en) 2021-03-09 2022-12-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure with conductive structure and method for manufacturing the same
US11810948B2 (en) 2021-03-10 2023-11-07 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11532725B2 (en) 2021-03-11 2022-12-20 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming sidewall spacers and semiconductor devices fabricated thereof
US11581437B2 (en) 2021-03-11 2023-02-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11942358B2 (en) 2021-03-12 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Low thermal budget dielectric for semiconductor devices
US11830912B2 (en) 2021-03-18 2023-11-28 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11575026B2 (en) 2021-03-19 2023-02-07 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structure for semiconductor device
US11862700B2 (en) 2021-03-19 2024-01-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure including forksheet transistors and methods of forming the same
US11978773B2 (en) 2021-03-25 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Formation method of semiconductor device structure with semiconductor nanostructures
US11984483B2 (en) 2021-03-26 2024-05-14 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of manufacturing thereof
US11843032B2 (en) 2021-03-30 2023-12-12 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure with channel and method for forming the same
US11894460B2 (en) 2021-03-30 2024-02-06 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11961884B2 (en) 2021-03-31 2024-04-16 Taiwan Semiconductor Manufacturing Company, Ltd. Fill structures with air gaps
US11515393B2 (en) 2021-03-31 2022-11-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device having nanosheet transistor and methods of fabrication thereof
US11600534B2 (en) 2021-03-31 2023-03-07 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain structures and method of forming
US11688793B2 (en) 2021-04-08 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Integrated circuit structure and manufacturing method thereof
US11942556B2 (en) 2021-04-08 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11664378B2 (en) 2021-04-08 2023-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11545559B2 (en) 2021-04-14 2023-01-03 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method
US11742353B2 (en) 2021-04-14 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11855092B2 (en) 2021-04-16 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of forming same
US11929287B2 (en) 2021-04-23 2024-03-12 Taiwan Semiconductor Manufacturing Co., Ltd. Dielectric liner for field effect transistors
US11710774B2 (en) 2021-04-23 2023-07-25 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming epitaxial source/drain features and semiconductor devices fabricated thereof
US11869892B2 (en) 2021-04-29 2024-01-09 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11915937B2 (en) 2021-04-29 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Fluorine incorporation method for nanosheet
US11855079B2 (en) 2021-04-30 2023-12-26 Taiwan Semiconductor Manufacturing Co., Ltd. Integrated circuit with backside trench for metal gate definition
US11476342B1 (en) 2021-05-05 2022-10-18 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with improved source and drain contact area and methods of fabrication thereof
US11990404B2 (en) 2021-05-05 2024-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Heat dissipation for semiconductor devices and methods of manufacture
US11978674B2 (en) 2021-05-05 2024-05-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11942478B2 (en) 2021-05-06 2024-03-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11991887B2 (en) 2021-05-06 2024-05-21 Taiwan Semiconductor Manufacturing Company, Ltd. Three-dimensional memory
US11742416B2 (en) 2021-05-27 2023-08-29 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US11757018B2 (en) 2021-05-27 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Formation method of semiconductor device with gate all around structure
US11869954B2 (en) 2021-05-28 2024-01-09 Taiwan Semiconductor Manufacturing Co., Ltd. Nanostructured channel regions for semiconductor devices
US11901415B2 (en) 2021-05-28 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor isolation structures
US11764277B2 (en) * 2021-06-04 2023-09-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure and method for manufacturing the same
US12015060B2 (en) 2021-06-24 2024-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with backside contact
US11916151B2 (en) 2021-06-25 2024-02-27 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor structure having fin with all around gate
US11855167B2 (en) 2021-07-08 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and formation method of semiconductor device with nanosheet structure
US11916122B2 (en) 2021-07-08 2024-02-27 Taiwan Semiconductor Manufacturing Co., Ltd. Gate all around transistor with dual inner spacers
US12002663B2 (en) 2021-07-16 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Processing apparatus and method for forming semiconductor structure
US11626400B2 (en) 2021-07-16 2023-04-11 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure incorporating air gap
US12002845B2 (en) 2021-07-23 2024-06-04 Taiwan Semiconductor Manufacturing Company, Ltd. Method of manufacturing a semiconductor device and a semiconductor device
US11935954B2 (en) 2021-07-30 2024-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and method for forming the same
US11948843B2 (en) 2021-08-06 2024-04-02 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming hardmask formation by hybrid materials in semiconductor device
US11908921B2 (en) 2021-08-26 2024-02-20 Taiwan Semiconductor Manufacturing Co., Ltd. Transistor isolation structures
US11804532B2 (en) 2021-08-27 2023-10-31 Taiwan Semiconductor Manufacturing Co., Ltd. Gate-all-around devices with superlattice channel
US11901364B2 (en) 2021-08-27 2024-02-13 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure and methods of forming the same
US11756995B2 (en) 2021-08-27 2023-09-12 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming a semiconductor device structure having an isolation layer to isolate a conductive feature and a gate electrode layer
US12002867B2 (en) 2021-08-27 2024-06-04 Taiwan Semiconductor Manufacturing Co., Ltd. Contact structure for semiconductor device
US11990511B2 (en) 2021-08-27 2024-05-21 Taiwan Semiconductor Manufacturing Co., Ltd. Source/drain device and method of forming thereof
US11855078B2 (en) 2021-08-27 2023-12-26 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device structure including forksheet transistors and methods of forming the same
US11810824B2 (en) 2021-08-30 2023-11-07 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and manufacturing method thereof
US11908893B2 (en) 2021-08-30 2024-02-20 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device and method of forming the same
US11784225B2 (en) 2021-08-30 2023-10-10 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor structure, method of forming stacked unit layers and method of forming stacked two-dimensional material layers
US11710781B2 (en) 2021-08-30 2023-07-25 Taiwan Semiconductor Manufacturing Co., Ltd. Growth process and methods thereof
US11688625B2 (en) 2021-08-30 2023-06-27 Taiwan Semiconductor Manufacturing Company, Ltd. Method for manufacturing semiconductor device
US11901412B2 (en) 2021-08-30 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Facet-free epitaxial structures for semiconductor devices
US11920254B2 (en) 2021-08-30 2024-03-05 Taiwan Semiconductor Manufacturing Co., Ltd. Detection of contact formation between a substrate and contact pins in an electroplating system
US11948840B2 (en) 2021-08-31 2024-04-02 Taiwan Semiconductor Manufacturing Co., Ltd. Protective layer over FinFET and method of forming same
US11942533B2 (en) 2021-08-31 2024-03-26 Taiwan Semiconductor Manufacturing Co., Ltd. Channel structures for semiconductor devices
US11749570B2 (en) 2021-08-31 2023-09-05 Taiwan Semiconductor Manufacturing Company, Ltd. Etch monitoring and performing
US11901410B2 (en) 2021-08-31 2024-02-13 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor devices and methods of manufacture
US11955384B2 (en) 2022-02-17 2024-04-09 Taiwan Semiconductor Manufacturing Company, Ltd. Stacked semiconductor device with nanostructure channels and manufacturing method thereof

Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013045901A (ja) * 2011-08-24 2013-03-04 Toshiba Corp 半導体装置および半導体装置の製造方法

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100481209B1 (ko) * 2002-10-01 2005-04-08 삼성전자주식회사 다중 채널을 갖는 모스 트랜지스터 및 그 제조방법
US20070102756A1 (en) 2005-11-10 2007-05-10 Bohumil Lojek FinFET transistor fabricated in bulk semiconducting material
US7667271B2 (en) 2007-04-27 2010-02-23 Taiwan Semiconductor Manufacturing Company, Ltd. Fin field-effect transistors
US7898040B2 (en) * 2007-06-18 2011-03-01 Infineon Technologies Ag Dual gate FinFET
US7485520B2 (en) * 2007-07-05 2009-02-03 International Business Machines Corporation Method of manufacturing a body-contacted finfet
US7910453B2 (en) 2008-07-14 2011-03-22 Taiwan Semiconductor Manufacturing Company, Ltd. Storage nitride encapsulation for non-planar sonos NAND flash charge retention
US8153493B2 (en) 2008-08-28 2012-04-10 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET process compatible native transistor
US8313999B2 (en) * 2009-12-23 2012-11-20 Intel Corporation Multi-gate semiconductor device with self-aligned epitaxial source and drain
US8310013B2 (en) 2010-02-11 2012-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating a FinFET device
US8399931B2 (en) * 2010-06-30 2013-03-19 Taiwan Semiconductor Manufacturing Company, Ltd. Layout for multiple-fin SRAM cell
US9312179B2 (en) 2010-03-17 2016-04-12 Taiwan-Semiconductor Manufacturing Co., Ltd. Method of making a finFET, and finFET formed by the method
US8729627B2 (en) 2010-05-14 2014-05-20 Taiwan Semiconductor Manufacturing Company, Ltd. Strained channel integrated circuit devices
US8753942B2 (en) * 2010-12-01 2014-06-17 Intel Corporation Silicon and silicon germanium nanowire structures
US8859389B2 (en) * 2011-01-28 2014-10-14 Kabushiki Kaisha Toshiba Methods of making fins and fin field effect transistors (FinFETs)
US8816444B2 (en) 2011-04-29 2014-08-26 Taiwan Semiconductor Manufacturing Company, Ltd. System and methods for converting planar design to FinFET design
US8466027B2 (en) 2011-09-08 2013-06-18 Taiwan Semiconductor Manufacturing Company, Ltd. Silicide formation and associated devices
US8723272B2 (en) 2011-10-04 2014-05-13 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET device and method of manufacturing same
CN103314443A (zh) * 2011-12-02 2013-09-18 新加坡优尼山帝斯电子私人有限公司 半导体器件的制造方法及半导体器件
WO2013095652A1 (en) 2011-12-23 2013-06-27 Intel Corporation Uniaxially strained nanowire structure
US9012284B2 (en) 2011-12-23 2015-04-21 Intel Corporation Nanowire transistor devices and forming techniques
US8377779B1 (en) 2012-01-03 2013-02-19 Taiwan Semiconductor Manufacturing Company, Ltd. Methods of manufacturing semiconductor devices and transistors
US9281378B2 (en) * 2012-01-24 2016-03-08 Taiwan Semiconductor Manufacturing Company, Ltd. Fin recess last process for FinFET fabrication
US9171925B2 (en) 2012-01-24 2015-10-27 Taiwan Semiconductor Manufacturing Company, Ltd. Multi-gate devices with replaced-channels and methods for forming the same
US8735993B2 (en) 2012-01-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. FinFET body contact and method of making same
US8785285B2 (en) 2012-03-08 2014-07-22 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor devices and methods of manufacture thereof
US8716765B2 (en) 2012-03-23 2014-05-06 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
US8860148B2 (en) 2012-04-11 2014-10-14 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method for FinFET integrated with capacitor
US8736056B2 (en) 2012-07-31 2014-05-27 Taiwan Semiconductor Manufacturing Company, Ltd. Device for reducing contact resistance of a metal
US8703556B2 (en) * 2012-08-30 2014-04-22 Taiwan Semiconductor Manufacturing Company, Ltd. Method of making a FinFET device
US8823065B2 (en) 2012-11-08 2014-09-02 Taiwan Semiconductor Manufacturing Company, Ltd. Contact structure of semiconductor device
CN103730366B (zh) 2012-10-16 2018-07-31 中国科学院微电子研究所 堆叠纳米线mos晶体管制作方法
US8772109B2 (en) 2012-10-24 2014-07-08 Taiwan Semiconductor Manufacturing Company, Ltd. Apparatus and method for forming semiconductor contacts
US9349837B2 (en) * 2012-11-09 2016-05-24 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase Fin height in Fin-first process
US9443962B2 (en) * 2012-11-09 2016-09-13 Taiwan Semiconductor Manufacturing Company, Ltd. Recessing STI to increase fin height in fin-first process
US9236300B2 (en) 2012-11-30 2016-01-12 Taiwan Semiconductor Manufacturing Company, Ltd. Contact plugs in SRAM cells and the method of forming the same
US9299810B2 (en) 2013-07-05 2016-03-29 Taiwan Semiconductor Manufacturing Company Limited Fin-type field effect transistor and method of fabricating the same
US9245882B2 (en) * 2013-09-27 2016-01-26 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with gradient germanium-containing channels
FR3016237B1 (fr) * 2014-01-07 2017-06-09 Commissariat Energie Atomique Dispositif a nanofils de semi-conducteur partiellement entoures par une grille
US9406804B2 (en) * 2014-04-11 2016-08-02 Taiwan Semiconductor Manufacturing Company, Ltd. FinFETs with contact-all-around
US9502518B2 (en) 2014-06-23 2016-11-22 Stmicroelectronics, Inc. Multi-channel gate-all-around FET
US9356047B2 (en) * 2014-08-18 2016-05-31 Globalfoundries Inc. Integrated circuits with self aligned contact structures for improved windows and fabrication methods
US9397197B1 (en) * 2015-09-23 2016-07-19 International Business Machines Corporation Forming wrap-around silicide contact on finFET

Patent Citations (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2013045901A (ja) * 2011-08-24 2013-03-04 Toshiba Corp 半導体装置および半導体装置の製造方法

Cited By (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20190062272A (ko) * 2017-11-28 2019-06-05 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 이의 제조 방법
KR102148412B1 (ko) * 2017-11-28 2020-08-27 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스 및 이의 제조 방법
US10903336B2 (en) 2017-11-28 2021-01-26 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
US11437495B2 (en) 2017-11-28 2022-09-06 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and method of manufacturing the same
KR20200050353A (ko) * 2018-10-31 2020-05-11 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스의 제조 방법 및 반도체 디바이스
KR102390709B1 (ko) * 2018-10-31 2022-04-26 타이완 세미콘덕터 매뉴팩쳐링 컴퍼니 리미티드 반도체 디바이스의 제조 방법 및 반도체 디바이스
US11335604B2 (en) 2018-10-31 2022-05-17 Taiwan Semiconductor Manufacturing Co., Ltd. Method of manufacturing a semiconductor device and a semiconductor device

Also Published As

Publication number Publication date
US20160240652A1 (en) 2016-08-18
CN105895693A (zh) 2016-08-24
US9608116B2 (en) 2017-03-28
CN105895693B (zh) 2019-07-16
US10355137B2 (en) 2019-07-16
US20170179301A1 (en) 2017-06-22
US20190355850A1 (en) 2019-11-21
US20180040738A1 (en) 2018-02-08
KR20160099447A (ko) 2016-08-22
US9991388B2 (en) 2018-06-05
TW201601311A (zh) 2016-01-01
TWI575739B (zh) 2017-03-21
US11211498B2 (en) 2021-12-28

Similar Documents

Publication Publication Date Title
KR101709400B1 (ko) 랩어라운드 실리사이드를 구비한 finfet 및 그 형성 방법
US11335809B2 (en) Stacked Gate-All-Around FinFET and method forming the same
US10978355B2 (en) Multi-gate devices with replaced-channels and methods for forming the same
US10720496B2 (en) FinFET structures and methods of forming the same
US11251086B2 (en) Semiconductor devices, FinFET devices, and manufacturing methods thereof
US10090300B2 (en) Fin-like field effect transistor (FinFET) device and method of manufacturing same
US9997616B2 (en) Semiconductor device having a strained region
KR101792916B1 (ko) 핀펫 소자의 구조 및 형성 방법
US9159552B2 (en) Method of forming a germanium-containing FinFET
US20130069128A1 (en) Semiconductor device and manufacturing method of the same
US11791403B2 (en) Semiconductor devices and methods of manufacturing thereof
US20220406774A1 (en) Doped well for semiconductor devices

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20200212

Year of fee payment: 4