TWI497633B - 線狀分佈半導體工件的處理工具 - Google Patents

線狀分佈半導體工件的處理工具 Download PDF

Info

Publication number
TWI497633B
TWI497633B TW096118638A TW96118638A TWI497633B TW I497633 B TWI497633 B TW I497633B TW 096118638 A TW096118638 A TW 096118638A TW 96118638 A TW96118638 A TW 96118638A TW I497633 B TWI497633 B TW I497633B
Authority
TW
Taiwan
Prior art keywords
transport
module
compartment
substrate
modules
Prior art date
Application number
TW096118638A
Other languages
English (en)
Other versions
TW200805553A (en
Inventor
Holtkamp William
Kremerman Izya
Hofmeister Christopher
Pickreign Richard
Original Assignee
Brooks Automation Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Brooks Automation Inc filed Critical Brooks Automation Inc
Publication of TW200805553A publication Critical patent/TW200805553A/zh
Application granted granted Critical
Publication of TWI497633B publication Critical patent/TWI497633B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67739Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber
    • H01L21/67748Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations into and out of processing chamber horizontal transfer of a single workpiece
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67161Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers
    • H01L21/67173Apparatus for manufacturing or treating in a plurality of work-stations characterized by the layout of the process chambers in-line arrangement
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/6719Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the processing chambers, e.g. modular processing chambers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/67005Apparatus not specifically provided for elsewhere
    • H01L21/67011Apparatus for manufacture or treatment
    • H01L21/67155Apparatus for manufacturing or treating in a plurality of work-stations
    • H01L21/67196Apparatus for manufacturing or treating in a plurality of work-stations characterized by the construction of the transfer chamber
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67766Mechanical parts of transfer devices
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/67Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere
    • H01L21/677Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations
    • H01L21/67763Apparatus specially adapted for handling semiconductor or electric solid state devices during manufacture or treatment thereof; Apparatus specially adapted for handling wafers during manufacture or treatment of semiconductor or electric solid state devices or components ; Apparatus not specifically provided for elsewhere for conveying, e.g. between different workstations the wafers being stored in a carrier, involving loading and unloading
    • H01L21/67769Storage means

Landscapes

  • Engineering & Computer Science (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Physics & Mathematics (AREA)
  • Chemical & Material Sciences (AREA)
  • Robotics (AREA)
  • General Chemical & Material Sciences (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Container, Conveyance, Adherence, Positioning, Of Wafer (AREA)

Description

線狀分佈半導體工件的處理工具
本發明之實施例係關於半導體工件處理工具,更詳細而言,係關於具有線狀分佈之處理工具。
其中一項影響消費者對新式電子裝置之購買意願自然是該裝置之價格。反過來說,如果新式電子裝置之成本以致價格可被降低,消費者對新式電子裝置之購買意願將取得有利效應。電子裝置之製造成本之主要部份係從諸如製造電子組件所用之半導體基板之製造及處理開始之電子生產成本,或用以製作顯示器之屏幕。處理基板之成本有部份係受到處理裝置之成本及用以罩封處理裝置之設施之成本所影響,而大部份係受到處理裝置之生產率所影響(對單價有顯著衝擊)。由此可知,處理裝置本身之尺寸將影響前述所有因素。然而傳統處理裝置在尺寸縮減方面已竭盡所能。此外,傳統處理裝置在增進單位生產率方面亦已達至極限。舉例而言,傳統處理裝置可採用徑向處理模組配置。傳統基板處理裝置之示意平面圖係如第9圖所示。如圖所示,第9圖中之裝置之處理模組係沿着處理裝置之輸送艙周圍徑向設置。傳統二軸或三軸移動裝置(例如Z,θ,T軸)之輸送裝置係設置於輸送艙中心位置以輸送基板於處理模組之間。由第9圖可知,傳統處理裝置之生產率係受限於輸送裝置之操持速率。換言之,僅只添加處理模組於裝置上並無法使傳統裝置之生產率增加,因為當輸送裝置達至操持速率頂點時,它將成為生產率之控制因素。以下將予進一步說明,本發明所揭述之裝置可克服先行技術之問題。
本發明之一實施例係提供一種基板處理裝置。該基板處理裝置包括可維持其內之隔離氛圍並聯通式連接於裝載站以將基板裝卸於裝置之輸送艙,設在輸送艙內以輸送基板及沿着輸送艙分佈之處理艙模組陣列,並聯通式連接於輸送艙以供基板轉移其間之輸送系統。其中,該輸送艙係呈線狀縱向長型並包括有至少一個輸送艙節點,各可聯通式串連於至少另一個輸送艙之輸送艙節點以產生長型,並將裝置之至少二個艙室模組互相繫結,各節點具有駐留在其內之駐留基板輸送臂以將基板輸送於輸送艙節點與至少二個艙室模組及基板緩衝器之間,聯通式連接於至少一個輸送艙節點,使基板取得緩衝。
本發明之另一實施例係提供一種基板處理裝置。該基板處理裝置包括一輸送艙,具有開口以供裝卸基板至輸送艙,該輸送艙具備第一輸送艙模組,第二輸送艙模組及第三輸送艙模組,各可維持其內之隔離氛圍及聯通式互相連接以在其間轉移基板,並於第一及第二輸送艙模組互相連接時,輸送艙具有縱向線狀長型形狀。處理裝置另外包括設在輸送艙內之輸送系統,並具有移動自如地安裝於各該第一,第二及第三輸送艙模組內之縱向固定位置之基板輸送臂,沿着輸送艙分佈之處理艙模組陣列,並聯通式連接於輸送艙以供在其間轉移基板及至少一個基板緩衝模組係選擇性連接於至少一個第一,第二及第三輸送艙模組,其中該縱向線狀長型輸送艙具有選擇性變異縱向長度,係由第二及第三輸送艙模組之其中至少一個與第一輸送艙模組之選擇性連接所影響,其中第三輸送艙模組與第一或第二輸送艙模組之選擇性連接係取決於第一與第二輸送艙模組之間所連接之基板緩衝模組。
本發明之再一實施例係提供一種基板處理裝置。該基板處理裝置包括具有埠口以裝卸基板於裝置上之裝料站,可維持其內之隔離氛圍及聯通式連接於裝料站以供基板在其間通過之輸送艙,設在輸送艙內以輸送基板及分佈於輸送艙之處理艙模組陣列之輸送系統,並聯通式連接於輸送艙以供基板在其間轉移。其中該輸送艙係線狀縱向長型及包括至少一個輸送艙模組,並有長駐基板輸送臂在其中,輸送艙模組係與裝料站形成介面及聯通式連接,並可聯通式連接於至少另一個輸送艙模組而使裝料站,至少一個輸送艙模組及至少另一個輸送艙模組係縱向串聯,並有通過之基板緩衝器,與至少一輸送艙模組形成介面及聯通式連接,使至少一個輸送艙模組係介置於裝料站與至少一輸送艙模組之間。
本發明之又一實施例係提供一種基板處理裝置。該基板處理裝置包括具有可與外界氛圍隔離之艙室之輸送艙模組,並具有輸送臂安裝於艙室內,輸送臂具有末端效應器以抓持及輸送基板,並具有設在相對於輸送艙模組之大致固定位置之樞軸關節。基板輸送艙模組另外包括另一輸送艙模組,具有另一艙室可隔離外界氛圍,並具有安裝於另一艙室內之另一輸送臂,另一輸送臂具有另一末端效應器以抓持及輸送基板,及具有設在相對於另一輸送艙模組之大致固定位置之另一樞軸關節,另一輸送艙模組係連接於艙室模組以形成線狀長型輸送艙及有處理模組之線性陣列係沿着線狀長型輸送艙延伸及連接使基板可在線狀長型輸送艙及線性陣列之至少一個處理模組之間輸送。其中該輸送臂及另一輸送臂係互相合作以形成行進路徑,縱向延伸通過線狀長型輸送艙以供輸送基板。
以下將參照附圖詳細說明本發明之上述及其他特徵。
參照第2圖係顯示一種具有本發明之特徵之基板處理裝置10之示意平面圖。雖然本發明將參照圖示實施例予以說明,須知本發明亦可以多種變更態樣實施。此外,任何適當尺寸,形狀或類型之元件或材料均可採用。
工件處理系統10或工具一般具有一處理部13及一介面部12。工具10之介面及處理部係互相連接及可供工件在其間輸送。圖中所示之工件S係作為實例,可為450mm,300mm或200mm之晶圓,調變盤,光罩,顯示平面屏幕,或任何其他預期工件項目。工具之處理部13具有處理模組或艙室,諸如材料澱積(CVD,PVD,銅植入,外延矽,介電澱積,快速熱處理,離子植入,蝕刻,化學機械拋光,量測,電鍍等)以根據預期處理協定進行工件S之處理。處理模組可由工件輸送艙16(見第5圖)予以連結使工件可根據處理協定被輸送於預期處理模組之間。輸送艙具有可移動工件至處理模組之輸送自動操控裝置20。處理模組與輸送艙可與外界氛圍隔離以維持輸送艙內之氛圍與處理模組相同,或適合供工件轉移於處理模組之間。舉例而言,輸送艙具有惰氣(例如N2 )氛圍或保持真空(或接近真空氛圍)。工具介面部12提供在工具處理部13與其隔離氛圍及工具外界之間之工件裝卸介面。於是工具介面部可供由設在工具外側之載體所卸下之工件可從載體卸下進入工具,反之亦然。輸送艙可由輸送艙模組構成,可作端對端連接以形成線狀長型輸送艙。藉增減輸送艙模組即可改變輸送艙長度。輸送艙模組具有入口/出口閘閥可將預期輸送艙模組與相連之輸送艙部份予以隔離。與部件12類似之工具介面部可沿着線狀長型輸送艙設置於任意位置,以下將予詳述,以供工件被裝卸於工具中之預期位置。處理模組可沿着輸送艙之長度分佈。處理模組可沿着艙室長度之一角度方向疊置。輸送艙模組可具有入口/出口閘閥以將預期輸送艙模組與處理模組隔離。輸送系統20係沿着輸送艙分佈。數個輸送艙模組係各包括具有固定介面/安裝於模組之一體成型之活動臂及用以支持及線狀移動工件沿着輸送艙及在輸送艙與處理模組之間移動之活動末端效應器。不同輸送艙模組之輸送臂可互相協作以構成線狀分佈輸送系統之至少一部份。利用控制器400以控制輸送系統,處理模組,處理部,介面部及工具之任何其他部件之操作。如第1圖所示之控制器400具有分佈式或群集式控制設計,其中一種適當實例係見述於7/11/05提出申請之美國專利申請案第11/178,615,以下將援引作為本案參考。在此之輸送艙及輸送系統係用以構成輸送艙內之多個工件行進車道,以下將予詳述。行進車道係被極化或指定於輸送艙內以供工件之往返。輸送艙亦具有中間裝載鎖以供輸送艙之不同部件維持不同氛圍,及供工件在輸送艙之不同氛圍部件之間轉移。以下將予詳述,輸送艙設有入口/出口站,可供工件從輸送艙之預期位置予以嵌置/移除。舉例而言,入口/出口站係設在與介面部12相對端之處或輸送艙內之其他預期位置。輸送艙之入口/出口站可與工件快速轉移通道聯通,係將輸送艙之入口/出口站連接遠程工具部12(見第5及第8圖)。快速轉移通道係與輸送艙16分離及隔開。快速轉移通道可與一或多個介面部12聯通使工件可輸送於介面部與轉移部之間。工件可被快速放置於工具之前端部及通過快速轉移通道之處理後回返至介面部12,不致影響輸送艙,並可導致處理工作(WIP)量減少。輸送艙亦具有中間入口/出口站,其中數個可與快速轉移通道聯通使工件可在其間輸送。如此可供工件被嵌入或移除於處理之預期中間部而不致影響處理流,以下將予進一步說明。
再參照第1圖,介面部12係示於工具10之輸送艙之末端。而在變更態樣中,工具之介面部可沿着輸送艙設置於預期之輸送艙末端之間。雖然第1圖顯示之工具10具有連接於輸送艙之一個介面部,而在變更態樣中之工具可具有預期數目之介面部連接於輸送艙。第1圖所示之介面部12具有實例構造,而在變更態樣中之介面部可具有任何預期構造/配置。介面部12一般具有一密封部或艙室14及一裝載埠LP(其中一個係作為實例顯示,而艙室可具有任何預期數目之裝載埠)。艙室14係聯通輸送艙16使工件可被輸送於介面部艙室14與輸送艙16之間。介面部之艙室14係環境模組,包括具有風扇及特定過濾器以維持艙室14內之預期清淨條件之控制空氣系統。介面部艙室可指為環境艙室。裝載埠LP提供控制埠(未予圖示)以供工件從介面部之艙室14進行裝卸而不致犧牲控制環境條件及環境艙室14內之環境。可設置裝載鎖(未示於第1圖,但可參考第4圖)以供轉換於環境艙室14與輸送艙16之間。適當環境介面部之實例係見述於7/11/05提出申請之美國專利申請案第11/178,836號,以下援引作為本案參考。圖示實施例中,裝載埠之控制埠提供介面部之開口似供工件輸送進出介面部,及進出工具10。控制埠開口具有門或封閉部(未予圖示)以關閉或至少局部阻擋開口,藉以在工件未被裝卸自介面部時維持介面部12內之控制環境。當工件末被裝卸時,埠門係關閉。圖示實施例中,工件係被輸送於裝載器或諸如FOUP,SMIF或其他工件容器等容器內之工具外側。第1圖顯示與裝載埠配對之FOUP C。在變更態樣中,裝載埠可與任何預期裝載器配對。由第1圖可知,當工件容器C與裝載埠配對時,容器係密封於裝載埠之控制埠,使埠門可予開啟。當埠口被容器所密封時,容器C之埠門,及密封部(未予圖示)(關閉可供工件裝載入容器之容器開口)可被移除,例如同時進行以致不會使有污染可能性之密封表面曝露於艙室內部,藉以開啟容器C於環境艙室14。於第1圖所示之實施例中,環境艙室14係連接輸送艙16之艙室面14F。裝載埠LP具有容器支承CS以支承與裝載埠配對之容器。於第1圖所示實施例中,容器支承CS係從艙室面14F延伸,而輸送艙16,環境艙室14及裝載埠LP係大致沿着X軸直線配置。在變更態樣中之輸送艙環境艙室與裝載埠係不對齊。舉例而言,裝載埠(與其容器支承)係設在環境艙室之一側面,橫向或與介面部及輸送艙之對準軸呈一角度設置裝載埠。由此可知,容器支承可設置以供容器與適當自動化容器輸送系統(未予圖示)形成介面,諸如高架或地板安裝自動化材料操持系統(AMHS),輸送帶系統或自動或軌導式機動車等。亦可將容器以手動方式載入裝載埠容器支承。工件容器可能是縮減WIP容器,適當實例係見述於8/19/05提出申請之美國專利申請案第11/207,231號,以下援引作為本案參考。裝載埠門與容器密封器係被動式,(即未設有移動特徵之被動式鎖止特徵),適當實例係見述於2005年11月3日提出申請之“縮減容量裝載器,輸送器,裝載埠,緩衝系統”之美國專利申請案。在被動式埠門之實施例中,介面部艙室係諸如連接於適當環境控制系統(例如排通至大氣或輸送艙之吸力源/低真空泵)以供介面部操作為裝載鎖(即可轉換於輸送艙16內之氛圍及工件容器C者之間)。於此實施例中,介面部12係直接配對於輸送艙(如第1圖所示)而不需任何間置裝載鎖。於第1圖所示實施例中,介面部具有工件輸送器15以將工件從配對裝載埠之容器C移送至輸送艙16。輸送器15係設在介面部艙室14內部,並具有諸如多個獨立移動自如之末端效應器以獨立移送多個工件。於第1圖所示之實施例中,輸送器15係示為多活節鏈結臂,具有三(3),四(4),五(5)或六(6)向度自由度(例如二個獨立移動自如末端效應器(θ,τ)及組合Z運動)。變更態樣中之介面部可設有任何適當工件輸送裝置。圖示實施例中,介面部亦包括工件站A,諸如定向站,緩衝站,量測站及供工件S之任何其他預期操持站。
爰參照第2圖,係顯示工件輸送艙16之輸送艙模組18之示意平面圖。以下將予詳述,模組18具有多個介面,用以配對介面部(類似部件12),裝載鎖模組,處理模組,工件站模組,其他輸送艙模組,或任何其他預期模組,並具有一體成型之工件輸送裝置設於模組中以提供工件S在獨立模組中及在獨立模組與毗鄰輸送艙模組之間之多個獨立行進路徑。輸送艙模組18具有可支持隔離氛圍之罩箱24,以下將予詳述。圖示實施例中之罩箱24係大致呈六邊形,而在變更態樣中之罩箱可具有任何適當形狀(例如具有更多或更少側邊或圓周邊)。罩箱24具有一框架22F作為模組系統與組件之結構支承。圖示實施例中,框架係由諸如不锈鋼或鋁合金等金屬製成之一件式構件(即單體構造),並由諸如鍛造等適當成型程序製成。艙室罩箱之一適當實例係見述於2005年3月2日提出申請之美國專利申請案第11/070,443號,以下援引作為本案參考。於此實施例中,框架22F係形成罩箱之一部份(例如壓力邊界)以將內部艙室氛圍與外界氛圍隔離。該框架亦可形成模組介面之配對表面及罩箱中之開口作為聯通及工件移送至毗鄰模組之通道。該框架另外可形成存取開口240以供用戶存取模組內部,以下將予詳述。變更態樣中之輸送艙模組之罩箱框架可具有任何其他預期形狀及配置。
如第2圖所示,本實施例中之輸送艙模組之罩箱24具有設在罩箱側緣之介面28F,28B,28L,28R。變更態樣中可具有較多或較少之介面。模組罩箱24與其上之介面28F,28B,28L,28R係顯示為大致對稱於該二對稱軸X,Y。此外,介面28F,28B,28L,28R係彼此類似。如此可供模組18以任何方位連接輸送艙之其他部位/模組。於是,舉例而言,模組可定向以將介面28F,28B配對於毗鄰之輸送艙模組(見第1及第3圖)。變更態樣中,模組之方位可旋轉(例如90度)使介面28L,28R可配對於其他同列之輸送艙模組。反之,設在模組罩箱上之介面之配置對稱性及個別介面彼此類似可供具有互補性配對介面之其他模組可配對輸送艙模組18之任何介面。因此,諸如另一輸送模組或處理模組等具有互補性配對介面之任何預期模組可配對/連接於與模組18類似之任何特定輸送艙模組之配對介面28L,28R,28F,28B。舉例而言,特定線狀分佈工具中,一種輸送艙模組18可具有配對於介面28R之另一輸送艙模組,而另一輸送艙模組可配對於類似介面28R之介面之處理模組PM,但亦配對於類似介面28F之介面之另一輸送艙模組。以下將予詳細說明。
模組罩箱24與介面28L,28R,28F,28B亦可配置以提供模組之間之真實互換性。舉例而言,各介面28L,28R,28F,28B可設有位置與傾度控制面及特徵,如第2圖中線條FL,FR(第2圖中僅顯示線條FL,FR作為實例說明)所代表者。模組上之位置與傾度控制面及特徵FL,FR係任何適當類型者,諸如動能聯結特徵,適當實例係見述於2005年6月15日提出申請之美國專利申請案第11/154,787號,以下援引作為本案參考。由此須知,在位置特徵及配對介面方面,各互換性輸送艙模組係大致類似於模組18,於是以下說明中所有該模組係一概統稱為模組18。各相對介面之位置/傾度控制面與特徵FL,FR係形成各互換性輸送艙模組之特定介面28F,28B,28L,28R之一覆現性空間位置(例如沿着X,Y,Z軸,Z軸係第2圖中頁面以外者)及高度(沿着X,Y,Z軸旋轉)。舉例而言,各模組18可具有模組參考基準,而控制面/特徵FL,FR係達成相對於模組參考基準。參考基準係諸如工件輸送平面W(見第2A圖),而模組共用之任何其他預期參考基準均可採用。如前所述,以下亦將進一步說明,輸送艙模組將形成多個工件行進路徑A,R。第2A圖所示之實施例中,工件平面W係對應於路徑A作為實例說明,而在變更態樣中之工件輸送平面係對應於可作為模組參考基準之任何行進路徑。模組參基準W可由整體參考基準G予以達成(各模組18),以下將予說明。由此可知其結果係各模組參考基準W之位置與高度,或模組介面之控制表面/特徵FL,FR之固定性或覆現性。各模組介面之控制表面/特徵可利用諸如具有適當基準特徵之參考台階或模架(見第9圖)相對於模組參考基準予以達成。參考模架具有一或多個基本基準表面以提供模組參考基準之基礎(例如工件輸送平面W)。基本基準表面(或特徵)可由諸如FAB設施層等之預期整體參 考基準G予以測定。參考模架亦具有註冊基準特徵,諸如基準面,鐳射位置註冊系統或光學位置註冊系統,設在相對於模架之基本基準面之固定預設位置及高度。註冊基準特徵係用以設定各模組18之介面28L,28R,28F,28B之控制表面/特徵FL,FR。為了設定特定模組之介面控制表面/特徵FL,FR,可將模組設置於參考模架上使它位於相對於參考模架之基本基準之預期位置。模組上之各預期介面28L,28R,28F,28B之介面控制表面/特徵FL,FR可利用註冊基準特徵以設置於最終位置。舉例而言,如果控制特徵FL,FR係垂直平面(諸如利用三(3)點接觸連結以保持模組水平)時,可(利用諸如機制機台,或調整機械連接等適當成型程序)調整該表面其平面度及垂直平面方位配合參考模架之對應註冊基準特徵。於是,由此可知,各模組18之各介面之類似控制表面/特徵大致具有相對於模組參考基準之相同之位置及角向方位,並與所有模組大致共同。此外,設定模組內相對於模組參考基準之工件介面組件之位置及角向方位,其結果係組件位置之固定性及覆現性,並如同前述係完全互換性模組。於是可免除在模組安裝之模組或內部模組組件之定制定位及對準。此外,此舉可供簡化輸送自動操縱裝置教示程序及減少其教示時間。變更態樣中,任何適當參考基準系統均可採用以覆現性達成模組介面之控制表面/特徵。
再參考第2圖,輸送艙模組18具有一體成型之工件輸送裝置26。該裝置26具有一或多個末端效應器32(其中一個係示於第2圖作為實例說明)以預期艙抓持及移動工件(例如以箭頭r,θ及Z(垂直/方向)所示之方向)。圖示實施例中,輸送裝置係類似介面部12中之自動操縱臂l5之多活節鏈結輸送臂,其差異說明如下。變更態樣中,輸送艙模姐中之工件輸送裝置係任何適當構造者。本實中之輸送臂26具有操件性連接於輸送臂之預期鏈結之驅動部,以提供輸送臂之諸如三(3),四(4),五(5),六(6)或以下之自由度。自由度係取決於獨立驅動鏈結之數目,臂末端效應器之數目及是否輸送臂可分度或垂直(Z軸-第2圖之平面外)運動。舉例而言,具有單一末端效應器,二(2)個獨立移動自如鏈結(例如相對於肩部26S之獨立旋轉及相對於肘部26E之獨立旋轉)及分度性能(Z軸)之輸送臂具有三(3)向度自由度。輸送臂及其驅動部可包裝成一模組26M以安裝於輸送艙模組18之框架22F。舉例而言,輸送臂模組26M係可通過存取開口24O(見第2圖)作為單一單元進行安裝或移除之“drop-in”模組。輸送臂模組26M具有可將預期附接部(未予圖示)接合於輸送艙模組框架22F上之安裝部(未予圖示)。輸送臂模組26亦具有測定性位置控制特徵,諸如動能連結以與框架22F上之互補性特徵36協作以提供輸送臂模組26M在輸送艙模組中之覆現性定位。此舉可供輸送艙模組中之輸送臂模組26M與其他類似輸送臂模組自由互換,反之亦可供輸送臂模組被安裝於任何輸送艙模組中而大致沒有安裝後調整。輸送臂模組之位置控制特徵34及模組框架22F之互補性特徵36係達成相對於模組參考基準之覆現性位置,諸如工件輸送平面W(亦見第2A圖)。輸送艙模組框架22F之互補性特徵36可利用參考模架200(見第9圖)以前述模組外界介面28L,28R,28F,28B之控制特徵FL,FR之類似方式予以設定。臂模組26M上之控制特徵34亦可以類似方式予以達成。舉例而言,可採用應用於模組框架或任何其他預期不同類型者之參考模架200之相同類型者之參考模架200A(見第10圖)。參考模架200A可具有由整體參考基準G’所達成之基本參考基準W’(以任何適當方式提供)。在輸送臂模組26M之模架200A中之該基本參考基準W’及整體參考基準G’係與艙室模組框架22F所用之參考模架200之基本參考W’及整體參考基準G’相同。舉例而言,在實施例中之該二種模架之整體參考基準係FAB台面,而基本參考基準係工件輸送平面W(見第2A圖)應處之代表性位置。因此用以達成艙室模組框架22F及臂模組26M上之位置測定特徵36,34之基本參考基準係大致相同或共同基準。輸送臂模組26M之參考模架亦具有註冊基準特徵R34用以設定臂模組26M上之控制特徵34。輸送臂模組26M可被設置於參考模架200A(見第10圖)上使諸如工件支承面(未予圖示)等之末端效應器32(亦見第2圖)之預期部份係對準基本參考基準W’(如前述在本實施例中係對應於工件輸送平面W)。實施例中當模組係在參考模架上時,樞轉鏈結之樞軸Z1,Z2,Z3(例如在肩部26S之Z1軸,在肘部26E之Z2軸,在腕部26W之Z3軸,見第10圖)可予以設定以確保在臂部26之r,θ運動(見第2圖)期間末端效應器32(即其工件支承面/平面)保持對準基本參考基準W’。臂模組26M上之控制特徵34係以任何適當成型或調整程序所設定以配合模架200A上之註冊基準特徵R34之位置。其結果係各臂模組26M之控制特徵34係在覆現性位置。在艙室框架22F及臂模組26M上之互動性控制特徵36,34之測定性定位構造及覆現性位置可提供臂模組26M在艙室模組18中之快速安裝及準確定位而大致不需臂部26之設置調整。同時亦有利於臂模組26M在艙室模組18中之互換性,進一步允許臂模組26M與可安裝於艙室模組18內及具有與臂模組之控制特徵34類似之位置控制特徵之任何其他組件模組(例如對準器組件模組,或任何其他預期工作站,緩衝模組,度量模組,艙室等)之互換性。因此,艙室模組18係可再構築,僅需轉換內部組件模組即可從諸如輸送器模組轉換成對準器模組,度量模組或任何其他站模組。如前所述,輸送艙模組18之各介面28L,28R,28F,28B具有開口28O可供工件進出模組。第2A圖係典型模組18之局部斷面圖,其中係顯示模組之介面28L,28R,28B。於此實施例中,介面28L,28R,28B,28F係類似,而在變更態樣中可提供不同介面於模組之不同側面。各介面之開口之尺寸係可供工件利用輸送裝置通過開口輸送沿着多個不同及分離之行進路徑A,R。實施例中所示,介面開口28O係單一開口,可容納二(2)個垂直相隔之行進路徑A,R。變更態樣中,該開口之尺寸經設計可容納超過二個不同及分離之行進路徑。另一變更態樣中,用以輸送工件之不同行進路徑係以任何預期方向分離。再一變更態樣中,有多個分離之工件轉移開口於介面中,諸如多個行進路徑之各個開口。於是各行進路徑可延伸通過指定轉移開口。再另一變更態樣中,一或多個介面之開口可容納單一行進路徑。一般上該介面係設在將要配對之一處理模組之模組側面。分離行進路徑A,R(見第2A圖)之間之分隔係大致足以提供由相同或不同輸送裝置之不同末端效應器沿着不同行進路徑輸送之工件S(例如由一末端效應器將一工件沿着路徑A輸送,另一末端效應器將另一工件沿着路徑R輸送)以逐一通過。模組介面中之開口28O可關閉自如藉以供模組內部與特定介面之另一側之氛圍隔離。例如可將槽型閥安裝於模組以密封介面之開口28O。槽型閥可藉液壓方式或電氣方式或任何其他適當啟動系統予以啟動。槽型閥啟動及輸送艙模組18之其他操作性系統(例如輸送臂26)之控制係由控制器400(見第1圖)所提供。模組18具有通訊及動力介面20,示意於第2圖,以進行模組18之操作性系統與控制器400之連接介面,及以下將述之適當動力或啟動系統(例如電子,液壓,真空,熱能等)。由此可知,槽型閥之密封將阻擋行進路徑A,R。單一槽型閥可用於二個行進路徑,或各該行進路徑A,R可具有不同及分離之啟動槽型閥。此種配置可應用於多個堆疊裝載鎖或處理模組係配對於一個介面,以下將予詳述。通過開口之未使用行進路徑可被安裝於開口邊緣之密封體予以密封,並密封開口中設置未使用行進路徑之部份。
再參考第2-2A圖,實施例中之輸送艙模組18可具有一體成型之工件站30。工件站係諸如一對準器,緩衝器,度量站,加熱站,裝載鎖或任何其他預期站台或站台組合。工件站可作成作為模組單元安裝及卸除於輸送艙模組框架22F之次模組30M。圖示實施例中,工件站模組30M係配對於其中一個配對介面28R(第2-2A圖顯示於介面28R之模組30M及變更態樣中之工件站模組可設置於任何介面)。由此可知,工件站模組30M可設有覆現性及位置測定特徵(類似前述框架22F之介面28L,28R,28F,28B上之特徵FL,FR)以配合對應介面28R上之特徵FR以將工件支承面SSA,SSR定位及對準於工件站模組中相對於工件輸送平面W之預期位置。如此亦可允許工件站模組互換性而大致不需如類似前述輸送臂模組26M般在安裝後之定位調整。它亦有助於將輸送艙模組18及站模組30M安裝於工具10上作為組合單元18S。第2A圖所示之實施例中,工件站30係一緩衝站。本實施例中之緩衝站具有二個支承架/面SSA,SSR,各對應於通過艙室18之各行進路徑。變更態樣中,緩衝站具有更多或更少之支承架。另一變更態樣中之工件站模組可被安裝於輸送艙模組框架上之任何其他位置,並通過與艙室模組介面中之工件轉移開口不同之一指定開口與艙室聯通。再另一變更態樣中,工件站係與輸送艙模組之框架一體成型,並無法卸除作為一模組。實施例中工件站30之控制及動力系統(未予圖示)係通過適當聯結與艙室模組18之控制及動力分配系統(未予圖示)產生介面連接,而該艙室模組18之控制及動力分配系統則係連接於工具控制器及動力供應。於是,控制通訊信號與動力可通過輸送艙模組18被導引至工件站之適當系統。當輸送艙模組18係連接於控制器與動力供應時,工件站系統與工具控制器及動力供應之聯結係自動發生,以下將予詳述。變更態樣中,工件站係個別連接於工具控制器及動力系統。如第2A圖所示,本實施例中之工件站30具有關閉式開口30A,由此可供工件站30與模組18聯通。與輸送艙模組18之聯通存取口30A(側面30C)相對之側面30R具有另一關閉式開口30O。開口30A,30O係彼此類似及與前述模組18之開口28O類似,其尺寸可提供多個工件行進路徑(類似但與行進路徑A,R呈一角度定向)及以類似閘門/槽型閥予以關閉自如。因此工件站30係與輸送艙模組18隔離(例如利用關閉存取開口30A)。工件站30之內部氛圍可相對於輸送艙模組而改變,反之亦然。舉例而言,工件站30可設有諸如Helix技術公司所生產之真空泵或粗抽泵以抽取工件站之大氣。當存取開口30A係關閉而將工件站30與模組18隔離時,工件站內之大氣將被泵抽而無關模組。模組18與工件站30之間之控制性排氣管線(未予圖示)可供控制模組與工件站之間之排氣。實施例中之真空泵30V(見第2圖)亦可用以同時間抽出模組18與工件站30內之大氣。舉例而言,存取/開口20A可開啟及泵80V將通過工件站30抽取模組18為真空。當工件站模組30M係安裝於模組18及通過存取開口30A聯通時,開口30O將形成模組18之外側關閉性界限。變更態樣中輸送艙模組可設有真空泵,而工件站並沒有真空泵。另一變更態樣中之工件站模組之任何其他預期模組側面具有更多或更少開口。本實施例中之工件站模組具有與前述模組框架22F上之位置控制FR類似之位置測定及覆現性設置配對介面CR1,用以覆現性配對或其他模組於工件站模組。由此可知,任何預期模組可配對於工件站模組之側面30R,諸如另一工具介面部模組(類似模組14,見第1圖)或類似模組18之另一輸送艙模組,或處理模組。
輸送艙模組18可連接於其他類似艙室模組以形成工具之線狀分佈輸送艙16。各模組18可形成工具節點以將工具之數個模組作結構性及操作性互相連結,以下將進一步予以說明。實施例中之輸送艙16及以下將述之工具本身係利用測定性定位方法形成,可在配對工具模組在一起時免除過度限制。舉例而言,作為與模組18類似之輸送艙模組之工具10之初始部件或模組,或與部件12類似之介面部,或工具10之任何其他預期模組或部件,具有與工具10之其他部件及模組相同之共同參考基準(例如第2A圖之晶圓輸送平面W),可設置以定位相對於整體基準(本實施例中之FAB台面)之預期位置/方位中之參考基準W。第3圖所示之實施例中,模組18係示為初始模組,可以任何預期方式達成初始模組MO之定位。舉例而言,可利用支承框架或其他結構或裝置以形成模組定位基座MPB。支承框架係與2005年6月15日提出申請之美國專利申請案第11/154,787號所揭述之自動化引擎支承框架類似,以下援引作為本案參考。該框架具有三點式支承以FAB台面為基礎,具有調整功能可使框架沿着X,Y軸作整體旋轉(例如偏斜及滾動,見第3圖)。支承框架亦具有測定性連結特徵設置於整體基準,可與模組18之介面28L,28R,28F,28B之位置與斜度控制特徵配對,或與模組之任何其他預期部份配對。變更態樣中之框架支承係不可調整者,任何安裝調整可由接合初始模組之支承(例如調整性安裝,墊襯等)所提供。初始模組係配對於定位基座MPB,而參考基準W相對於整體基準之對準係經過驗證。利用定位基座MPB之內建調整功能可免除失準情況。實施例中之對準作業係於工件輸送平面大致與FAB台面平行時達成。當工具之初始模組/部件MO對準預定方位時,工具之其他模組及部件可連接於初始模組。設在模組介面(前述)之位置測定及覆現性設置控制及定位特徵將繼後模組/部件及內部組件設置於與初始模組MO配對之預期配對覆現性方位/位置。此舉可大致免除配對後調整以達成連接模組之間之對準。第3圖顯示之實例中,另一輸送模組18A(類似模組18)係配對於在初始模組MO上之工件站30之側面30R。協作之定位與控制特徵FR’,LA分別與初始模組MO及附加模組18A之接合將導致附加模組被設定於預定位置以供該二模組之工件輸送平面W在初始安裝之時大致對準。於是,由輸送臂26在各模組中達成及對準前述參考平面W之行進路徑A,R可連接在一起形成連續行進路徑A,R以通過配對艙室模組18,18A。於是工件可沿着行進路徑A,R輸送自一模組通過輸送艙至另一模組。第3圖之實施例所示之串聯之模組18,18A可一起形成線狀延伸輸送艙16,以X軸作為艙室之縱軸,工件行進路徑(與第2A圖之路徑A,R類似)在艙室中縱向延伸。藉前述配對模組18A與初始模組之類似方式將模組進一步配對艙室之任何自由/未佔用側即可持續輸送艙之預期延伸。於配對之模組之配對介面之協作定位與控制特徵(類似第2圖所示之特徵L,R)可達成前述之模組之間之定位常性。於是工件行進路徑A,R可延伸於整個輸送艙16之模組。
圖示之輸送模組18A係附加於初始模組MO之側面30R作為實例,當知其他輸送艙模組可配對於初始模組之任何預期側面。此外,模組可配對於初始模組MO之多個側面。此外,輸送艙模組可配對於輸送艙之其他模組MI(見第3圖)之任何一或多個預期側面以使輸送艙16線狀延伸至任何預期長度及構造。第3圖亦有助於顯示另一實施例之輸送艙配置,其中各模組係不同之線狀延伸輸送艙之一部份,例如具有第3圖所示之Y軸方向之大致平行縱軸之平行輸送艙16,16I。於此場合,模組18A與18之配對將提供不同輸送艙之間之分路16S(分別由模組18及模組18A代表)。分路可利用工件之輸送臂26,26A提供在輸送艙之間之轉移(視需要沿着與路徑A,R類似之不同行進路徑)。在模組18,18A之相對配對介面上之位置及控制特徵可供輸送艙之工件輸送平面(類似平面W)之互相對準之驗證。舉例而言,如果位置控制特徵係適當接合,則不同艙室之工件輸送平面係互相對準。不同輸送艙可藉類似第2A圖之開口300中之槽型閥之槽型閥予以互相隔離。此外,其中一個輸送艙模組18,18A之工件站可作成裝載鎖(即設有適當系統以循環於諸如惰氣,真空等不同氛圍之間)。如此可允許不同氛圍維持於不同輸送艙內。變更態樣中之輸送艙可垂直堆疊或垂直偏置一個艙室交疊於另一艙室上。垂直偏置輸送艙可利用一通道互相連接,諸如可供工件穿梭於艙室之間之垂直通道。例如輸送臂上可設有充份Z軸行程以供工件從一輸送艙輸送至另一輸送艙。
參照第1圖,所示之工具介面部12(前述)係配對於輸送艙模組18。本實施例中之輸送艙模組18僅作為說明用以構成工具之初始或基座模組MO。因此於本實施例中之介面部12可配對於工具輸送艙18之基座模組MO。變更態樣中之介面部係配對於工具之任何預期輸送艙模組。其中一個或多個介面部12,12’可沿着輸送艙16之長度(見第5圖)配對於不同輸送艙模組。介面部可具有與前述輸送艙模組18之特徵FL,FR類似之位置及斜度控制特徵,可與模組18上之配對控制特徵FL,FR合作以在介面部與模組連接時至少將介面部之自動化引擎部件設置於相對於輸送艙模組18及整體輸送艙16之預期位置及方位。於是工具介面部12及輸送艙16(即構成輸送艙之模組)之工件輸送平面(類似第2圖之平面W)係在介面部與輸送艙模組互相連接時大致彼此對準。因此一或多個工件行進路徑可從輸送艙16延伸至工具介面部。變更態樣中之介面部之輸送臂15之工件輸送平面係對準但偏離(例如垂直偏離)輸送艙16之行進路徑A,R。如前所述,第1圖所示之配置僅作為實例說明。工具介面部12可配對於輸送艙模組18之任何預期介面28L,28R,28F,28B。因此工具介面部12可定位與線狀艙室16之縱軸X大致對準,或配對於輸送艙之偏離艙室縱軸X之位置。舉例而言,第1圖所示之實施例中之工具介面部12可連接輸送艙16之端部16E,或至少連接輸送艙之一部份之端部(即第1圖之模組18可能係末端模組),而艙室16之縱軸X(雖然第1圖中顯示單一輸送模組18,然而亦可增設輸送艙模組於模組18中,如虛線所示沿着X軸所示方向使輸送艙作線狀延伸)係延伸工具介面部12。變更態樣中,介面部或其匣盒支承CS係大致面對但偏離(水平)輸送艙之縱軸X。
參照第6圖係顯示類似系統10之工件處理系統310之示意平面圖,其中工具介面部312(大致類似部件12)係安裝於輸送艙模組318(大致類似模組18)而使介面部312朝向(例如向內)但偏離輸送艙316之縱軸X。由此可知,第6圖所示之實施例中,輸送艙316可藉附接其他輸送艙模組318A,318I至介面328R,328C而延伸(即輸送艙模組之介面朝向工具介面部312配對之介面328F之相對角度方向)。圖示實施例中,輸送艙模組318A係連接於模組318之介面328R作為實例(而模組318I係以類似方式串聯式連接)。另一模組XM(第6圖中虛線所示)可連接介面328L,於本實施例中一般係相對於模組318之介面328R之模組318A。模組XM可能係類似模組318之另一輸送艙模組,或工件轉移站或裝載鎖模組視需要連接於模組318以持續輸送艙沿着X軸之延伸。本實施例中之輸送艙316係橫向於工具介面部312及延伸於介面部312之兩側。變更態樣中之輸送艙模組318之介面328L之模組XM係處理模組(諸如度量,介電沉積,蝕刻,平版印刷等而非輸送艙模組)。第6圖所示之實施例中,模組318具有與介面328B配對之另一模組XM(以虛線表示),大致係對向工具介面部312。如同介面328L之模組XM,設在介面328B之模組XM可能係輸送艙模組(例如類似模組318,或轉移,緩衝站或裝載鎖模組)視需要連接模組318以將輸送艙316沿着Y軸方向作線狀延伸(見第6圖)。於是,由此可知,實施例中之線狀長型輸送艙可具有任預期構造。類似模組318之增設輸送艙模組XM可連接於類似模組XM之介面328L,328R,328B之介面以持續線狀延伸輸送艙於任何預期方向。第6圖所示之實施例中,模組318A具有連接至模組介面328BA之模組XM。第6圖中虛線表示之模組XM1可能係類似模組XM之一輸送艙模組(例如類似輸送艙模組318之模組或工件轉移站,緩衝站或提供工件通過之裝載鎖模組)。本實施例中,輸送艙可視需要沿着Y1軸(見第6圖)延伸。變更態樣中,模組XM,XM1可能係工件處理模組(例如度量,材料沉積,平版印刷,蝕刻等)而非輸送艙模組。由此可知,第6圖所示之實施例中,線狀輸送艙316之分佈工件輸送系統320(由類似第2圖所示之輸送臂26之輸送臂326,326A,326i所構成)可沿着線狀輸送艙316之全長之縱軸X,Y,Y1輸送工件,以下將予詳述。同時以下亦將詳述,輸送系統320可沿着多個獨立行進路徑輸送工件通過輸送艙,允許工件以不同方向移動,並彼此跨越於整個線狀長型輸送艙。
再者,輸送系統320可輸送工件沿着長型輸送艙316側面進/出於處理模組PM。第6圖所示之實施例中,處理模組PM係連接於輸送艙模組318i之介面328Bi,328Fi,328Ri。安裝於輸送艙模組318I中之輸送臂326I可將工件S輸送進出如圖示之對應處理模組。處理模組PM係任何預期類型者(例如外延矽,材料澱積,離子植入,平版印刷,蝕刻,快速熱處理,抛光等)。沿着線狀輸送艙側面排列之處理模組PM可能係在線狀處理模組中以任何預期順序排列之不同類型者。舉例而言,排列順序可能係根據與通過輸送艙之預期工件輸送方向(例如第6圖中箭頭A所示方向)相關連之預期工件製造協定。如前所述,輸送艙之模組318,318A,318I具有槽型閥SV(類似第2A圖中用以關閉模組開口28O之槽型閥)以隔離輸送艙模組及輸送艙與相連之處理模組PM。此外,槽型閥SV可將模組328,328A,328I或輸送艙之部份彼此隔離使隔離之模組/部份可維持不同氛圍。輸送艙316A之部份可具有諸如惰氣(N2)或高純度空氣等氛圍以對應於直接連接及聯通該輸送艙部份之處理模組XM1之氛圍,及輸送艙316B之相連部份可維持對應於連接及聯通該輸送艙部份之處理模組PM之諸如真空等不同氛圍。實施例中之輸送艙具有設在具有不同內部氛圍之輸送艙模組328A,328i之間之裝載鎖316LL(見第6圖),可供工件通過輸送艙模組之間而不致犠牲不同內部氛圍。裝載鎖316LL係諸如類似站台模組30M(見第2圖)之模組部件,而在變更態樣中之裝載鎖316LL可能係任何其他預期構造者。槽型閥SV可關閉輸送通道開口以將裝載鎖模組與連接裝載鎖316LL之輸送艙模組318A,318I隔離。裝載鎖模組316LL具有適當系統(諸如真空連接,排通口等)以循環氛圍以配合相連輸送艙部份之氛圍。輸送艙可包括任何預期數目之與裝載鎖316LL類似之裝載鎖。
再參照第6圖,實施例中之處理系統310可具有超過一個入口/出口站以嵌入及卸除工件S自處理系統。第6圖所示之實施例中,其中一入口/出口站係由介面部312所提供,而另一入口/出口站係由介面部312A所提供。變更態樣中可設有更多入口/出口站以嵌入/卸除工件自處理系統或輸送艙。在實施例中之介面部312A係大致類似於前述之介面部12,312(見第1圖)。變更態樣之介面部可具有可供工件被嵌入/卸除自線狀輸送艙及處理系統而不犠牲輸送艙內之隔離氛圍之任何其他預期構造。附加介面部312A可與材料操持系統(例如高架AMHS,傳輸帶系統,地面機動車等)聯通以供工件裝卸於增設之介面部312A。如前所述,工具介面部312可設在輸送艙316之末端(例如當連接於輸送艙模組318之模組XM係處理模組,或如果沒有模組XM連接於輸送艙模組之場合),或如果輸送艙316延伸於介面部之兩側時可設在線狀輸送艙之中間部位(例如至少一個模組XM係輸送艙模組)。增設之介面部312A可設在輸送艙之末端(例如相對於介面部312之末端),或沿着輸送艙之中間部位。由此可知,在輸送艙及工具上設置多個入口/出口站可供嵌置工件通過一站台及使工件進行後處理卸除通過不同入口/出口站,該入口/出口站係比入口站更靠近工件後處理。舉例而言,可通過介面部312將工件嵌入輸送艙316(及工具310)及利用輸送系統320之臂部326,326A,326I予以輸送以沿着箭頭A所示方向進行處理。完成預期處理後之工件係被設置於比入口之介面站312更靠近介面部312A。於是,可利用分佈輸送系統320輸送工件(例如沿着箭頭A所示方向前進,或當工件已經通過介面部312A,並沿着箭頭R回返至多路徑輸送艙回復路徑,以下將予詳述)至最靠近之預期介面站312,312A。由此亦可知,介面部312,312A之中間設置可將工件進入處理系統而儘量靠近預期處理模組及繞道輸送艙/處理系統之非預期部份。此舉可改良系統之生產率而較低WIP(與傳統系統相比較)及更快速“轉頭”時間(例如處理單一載體單元或“熱門單元”之時間)。
再參照第1圖,可知輸送艙16,316可有任何預期長度以增設任何預期數目之處理模組。舉例而言,輸送艙16,316之長度可提供最適數目之處理模組以操持處理系統之容量,以下將予詳述,並達至處理系統之最高生產率。輸送艙可形成延伸通過處理設施之一部份,如第5圖之實施例所示。第5圖係大致類似系統10,310之處理系統510之示意平面圖。類似輸送系統20,320之分佈輸送系統係將基板或工件S輸送通過輸送艙516A-516J之製造設施510內之處理程序。輸送艙516A-516J係由類似前述模組18之串聯輸送艙模組518所構成。處理程序包括外延矽630,介電澱積632,光平版印刷634,蝕刻636,離子植入638,快速熱處理640,度量642,介電澱積644,金屬澱積648,電鍍650,化學機械抛光652。變更態樣中將有更多或更少程序涉及或混合;諸如在相同序列中之蝕刻,金屬澱積,加熱及冷卻作業。以下將予詳述,輸送系統可裝載單一或多個工件及具有轉移功能,諸如當輸送系統之輸送臂526具有抓取已處理工件及將未處理晶圓設置於相同處理或輸送艙模組之功能。閥654可能係密封閥或僅只為傳導型閥,取決於特定閥654之任一側之壓力差異或氣體種類差異。以此方式可將工件從一處理步驟轉移至下一步驟。該壓力或種類差異之實例係諸如一邊為淨空氣而另一邊為氮氣;或一邊為粗壓真空等級而另一邊為高度真空;或一邊為真空而另一邊為氮氣。類似第2圖之艙室18之裝載鎖656可用以轉換於一環境與另一環境之間;例如在真空與氮氣或氬氣之間。變更態樣中,可提供任何組合數目之其他壓力或種類。裝載鎖656可將多個工件轉換於多個工件行進路徑之間。例如可將基板轉移至架子(未予圖示)之裝載鎖656或指定之預期行進方向。諸如對準模組,度量模組,清淨模組,處理模組(例如蝕刻,澱積,抛光等),熱調理模組等增設特徵658可加入裝載鎖656或輸送艙。輸送艙具有多個入口/出口站沿着艙室全長設置以視需要嵌入/卸除工具上之晶圓。第5圖所示之實施例中,輸送艙可直接聯通晶圓或裝載體堆疊器662,664用以儲存及緩衝處理程序及或測試晶圓。變更態樣中可能不設置堆疊器662,664,諸如輸送艙直接聯通平版印刷工具634。
於第5圖所示之實施例中,處理工具510可具有快速通道或艙室570。通道570係連接於輸送艙516之預期位置及一或多個工具介面512。通道570具有一輸送穿梭機或機動車572以橫亙通道長度。穿梭機572可抓持工件或工件裝載體,並輸送工件或工件裝載體通過通道572之全長。通道570係線狀長型管可維持諸如N2或真空等隔離氛圍,或具有高度潔淨空氣之氛圍,可通過預期過濾作用予以循環。第5圖所示實施例中,通道572係示意為沿着輸送艙516延伸。通道570具有互聯通道576,578(圖中僅顯示二個作為實例,而變更態樣中可具有更多或更少互聯通道)將通道管連接至輸送艙516之預期模組656,654。圖示實施例中之互聯通道576係連結於中間裝載(LL)模組656,而另一互聯通道578係連結於設在輸送艙之線狀部份516C一端之另一LL模組654。變更態樣之互聯通道可連結輸送艙516之任何預期部份,諸如輸送艙模組518。互聯通道之尺寸係經設計可供一或多個工件通過輸送艙516與通道570之間。可在通道或輸送艙中設置用以移動工件通過互聯通道在通道及輸送艙之間之轉移系統(未予圖示),以下將予詳述。通道570係設在相對於線狀輸送艙516之任何預期位置以供互聯通道連結於輸送艙。例如通道可設在輸送艙之上側,邊緣或下側。互聯通道可配對輸送艙模組之任何預期工件通道開口,諸如類似介面28L,28R,28F,28B(見第2,2A圖)中之關閉式開口28O之側開口,或類似開口24O(見第2圖)之頂部開口。通道開口可由適當閥(諸如側開口之槽型閥654)予以關閉以將輸送艙氛圍與通道隔離。變更態樣中之通道具有任何其他預期方位,諸如相對於輸送艙呈一角度。第5圖所示之實施例中,通道具有可聯通工具介面部512之通道574以供工件從穿梭機572裝卸自介面部。由此可知,穿梭機572可在通道570內大致無中斷移動於諸如介面部512與互聯通道576,578之間,於是可轉移工件於通道之控制氛圍內之介面部512與互聯通道576,578之間,或通道576,578之間以供工件繞道經過輸送艙之部份。具有任何預期機動車構造之穿梭機572可產生比分佈輸送系統520更高之工件輸送速度。此外,繞道輸送艙516之部份後,處理工具510之生產率將增加而WIP將減少。由此可知,“熱門單元”之迴轉時間亦減少。舉例而言,可利用FAB AMHS(未予圖示)將單一工件(“熱門單元”)載體裝載於工具介面部5120,其中“熱門單元”工件係在處理模組PM1及PM2進行處理,而工件可由適當轉移系統(諸如在介面部之調位器)從介面部5120抓取後放置於穿梭機572上。該穿梭機572可通過通道570進入互聯通道576,而工件係被另一適當轉移系統(未予圖示)裝載於裝載鎖656。因此,工件係從裝載位置直通工具510靠近預期處理程序之部份。LL656可循環以供輸送系統520存取工件。工件係由輸送系統520予以移動通過輸送艙516C及裝卸自預期處理模組PM1,PM2以供進行處理。完成預期處理程序後,工件係被設置於諸如靠近連接互聯通道578之LL。於是,利用輸送系統520將工件輸送至此LL以裝載於穿梭機572。LL係被循環以提供將經處理工件裝載於穿梭機572進入通道之存取而不犠牲輸送艙516C中之不同氛圍。穿梭機572可使經處理工件直通預期位置,諸如工具介面部5120(通過通道574)或介面部512I(通過通道576)以載出。變更態樣中之快速通道可具有任何預期長度及構造,並可聯通以供處理工具510之任何預期部份轉移工件,包括諸如度量,工件堆疊器(WS)或載體堆疊器(CS)部份,電版印刷部634等。
爰參照第4圖所顯示之諸如前述處理系統/工具10,310,510之一部份等之處理系統/工具之典型部份410之示意平面圖。工具之典型部份400,以下係以工具410代表,係類似前述工具10,310,510,類似特徵係以類似代號表示。工具410具有沿着X軸延伸之線狀輸送艙416。艙室416係由艙室模組18B,18i(類似前述輸送艙模組18,18A)以前述輸送艙16,316之方式串聯連接而成。由輸送臂26B,26i樞轉安裝於相對應艙室模組18B,18i所構成之工件輸送系統420(類似輸送系統20,320,520)係線狀分佈於輸送艙。輸送艙416係以類似第1圖所示之介面部12之方式連接工具介面部(未予圖示),或第6圖所示之介面部312,312A以提供輸送艙如前述之一或多個工件入口/出口站。第4圖所示之實施例中,工件站30B,30i(類似前述之工件站30)係間隙性設置於輸送艙模組18B,18i之間。本實施例中之工具410亦包括可供設在裝載鎖模組56對側之輸送艙模組18B,18i具有不同內部氛圍之裝載鎖模組56。第4圖所示之裝載鎖模組之構造係代表性,而裝載鎖模組可具有任何預期構造以供工件利用相對模組之對應輸送臂通過相連之輸送艙模組。裝載鎖模組之構造係類似輸送艙模組18(例如應用具有如第2圖所示之前述之類似定位控制特徵FL,FR之類似框架/罩箱)或工件站30。本實施例中,裝載鎖模組沒有一體成型之工件輸送,而裝載鎖模組之工件通道係由相連艙室模組之輸送臂26b,26i所啟動。變更態樣中,裝載鎖模組具有一體成型之輸送臂/機制以進行工件相對於輸送艙之移動。裝載鎖或相連輸送艙模組具有槽型閥54,可關閉類似開口28O(見第2A圖)之通道開口,以選擇性隔離裝載鎖與相連輸送艙模組之氛圍。處理模組PM,PMA,PMB可連接於輸送艙之輸送艙模組18B,18i之側面介面。如第4圖所示,處理模組係連接於輸送艙形成線狀陣列。連接於輸送艙之處理模組亦可以垂直堆疊或柱狀配置。第4圖所示之實施例中,處理模組PMA,PMR之堆疊SPM可連接於輸送艙模組18B。變更態樣中之堆疊處理模組可連接於任何預期輸送艙模組之任何預期側面介面。第4B圖顯示輸送艙模組18B及連接於輸送艙模組之側介面28LB之堆疊處理模組PMA,PMR之示意斷面圖。本實施例中之艙室模組18B之側安裝介面28LB具有多個垂直偏離工件通道開口TO以支援處理模組之對應配對。側介面28LB具有二個垂直偏離工件通道開口TO作為實例說明,而變更態樣中之模組介面具有超過二個工件通道開口以支援匹配數目之處理模組與輸送艙模組特定介面之配對。由此可知,處理模組可能未連接於部份工件通道開口。因此在變更態樣中,處理模組可連接於其中一個通道開口而非連接於另一個開口。
如第4B圖所示,本實施例中之艙室模組18B之輸送臂26B具有適當Z驅動260Z以進行調位或移動臂部,特別係末端效應器32B,沿着箭頭Z所示方向作上下移動。因此圖示可支持一個或整批工件之末端效應器32B可進行調位以對準通道開口TO。在輸送艙模組18B與處理模組PMA,PMR之間轉移工件係由末端效應器32B沿着第4B圖之箭頭Y2,Y1方向之移動予以啟動。於第4B圖所示實施例中,通道開口TO及相對處理模組PMA,PMR之工件轉移平面係大致對準由輸送系統420所提供之輸送艙416中之對應工件行進路徑A,R,以下將予詳述。此舉可供轉移臂26B移動工件沿着行進路徑A,R通過輸送艙模組18B以將工件轉移至處理模組PMA,PMR,反之亦然(例如從處理模組PMA,PMR抓取工作後沿着行進路徑A,R移動)而不需調動(Z移動)末端效應器32B。如此將導致工具之生產率增進,輸送艙416中之工件行進路徑A,R可予以極化,工件係沿着行進路徑A以單一方向移動,例如從入口/出口站之預期原點前進而工件係沿着行進路徑R以反方向移動,例如回返相對預期原點。實施例中大致對準相對行進路徑A,R之處理模組PM,PMA,PMR可進行對應於對準行進路徑之行進方向(例如處理方向)之處理程序。因此在實施例中,模組PM,PMA,PMR之處理程序係相關於艙室行進路徑A,R,相對處理模組PM,PMA,PMR係大致對準。作為實例說明,如果路徑A之行進方向係前進,而路徑R之行進方向回返(至部份入口站),由模組PMA,PM所進行之處理(大致對準第4B圖所示之路徑A),諸如材料鍍積,係對應於由路徑A所設定之處理方向,而由模組PMR所進行之處理,諸如離子植入,蝕刻等係對應於由路徑R所設定之處理方向。由此可知,文中“前進”,“回返”一詞係僅用以幫助識別沿着彼此相對不同之不同路徑之行進方向。沿着路徑A,R之行進方向係如預期般相對於輸送艙416而達成。變更態樣中,附設於輸送艙之模組之處理係無關艙室中之行進路徑。
再參照第4圖,工具410之組件(例如輸送艙模組18B,18i,輸送臂26B,26i,工件站30B,30i,裝載鎖模組56,槽型閥等)之諸如控制信號,功率,真空,氣壓等設施可提供自圖中示意之設施系統80。再參照第5圖,顯示大致類似第4圖之設施80之設施系統680,控制器C係聯通性連接於設施80,680。該設施包括用以連接控制器C與工具410,51o之組件之適當通訊鏈。該設施80可具有用以承接連接形成輸送艙416之輸送艙模組21B,21i之通訊與動力介面21B,21i之接頭80c。該接頭80C與介面21B,21i係設有整合性程式以自動提供“插接即可使用”性能予相對介面21B,21i與對應接頭。舉例而言,接頭與介面可分別為USB埠及連接器。介面21B,21i與接頭之配對將由控制器C自動識別模組構造,例如模組28B係輸送艙模組,而輸送臂具有(M)自由度及輸送臂驅動部電動機之控制參數,及駐守在模組28B之任何其他控制性系統之控制儀器,識別與控制參數,相對預期參考訊框之模組位置(例如輸送艙之第六模組)。由控制器C自動下載於配對介面21B,21i及接頭80C之資訊可提供控制器有關控制器C所控制之模組之所有控制性系統之系統資訊與控制參數以供控制器與模組之控制性系統在接頭上大致立即進行通訊及控制操作。該資訊亦提供控制器C設定輸送艙416之輸送“空間”之幾何參數,加入特定輸送艙模組28B,28i,以達成動能程式及指令控制輸送運動。舉例而言,下載資訊可允許控制器達成各項特徵之空間座標(X,Y,Z),諸如輸送臂26B之樞軸(見第2A圖),轉移開口28O,TO,艙室界限,工件抓取中心,放置位置等。由此可知,程式建入介面21B,21i之資訊係一部份或一識別器,足以供控制器搜尋/讀取控制器之記憶體位置(未予圖示)之資訊,其中控制資訊可能被預先程式化。舉例而言,控制器可建入搜查表或運算程式以設立動能相關性特徵之X,Y,Z座標,諸如輸送臂26B,26i之樞軸,工件轉移開口之位置,(i至M)轉移艙模組之模組艙室壁。係由不同輸送艙模組之互換性及位置控制特徵予以促成。當連結特定模組之介面21B,21i時,係提供一指示予控制器以提示(m)模組已連結於輸送艙之其他模組,並已由控制器註冊,致使控制器存取(通過搜查表/運算)模組之動能特性。同理,控制器C亦可建入“粗略”動能指令設計及精細教示協定作為控制末端效應器在相對(i至M)輸送艙模組之對應輸送臂(類似臂26B)之運動。由此可知,輸送艙模組之互換性與位置控制特徵可供臂控制之“粗略”動能指令設計藉計算方法達成,或實質性以輸送艙模組與輸送艙之脫接(例如在製造設施上),及儲存於預期媒體使動能指令係儲存於系統控制器C。本文中“粗略(rough)”一詞僅用以代表在沒有就地教示以輸送艙模組配對其餘輸送艙之臂控制所達成之動能指令。作為控制器之指示,當特定介面21B,21i聯結時,(M)模組已附接於輸送艙,將可導致控制器存取對應之“粗略”動能指令設計,及在控制對應輸送臂及分佈輸送系統之預期細部教示協定。變更態樣中,模組介面21B,21i可建入由聯結控制器所下載之任何其他預期資訊之程式。當註冊(M)輸送艙模組已聯結時,控制器C將進一步自動存取或自動提供操作員對應程式以啟始相對之操作性系統/組件及各項系統之查詢狀態(例如槽型閥開/關,輸送編碼器位置等)。同理,控制器C可自動搜尋及啟始適當測試協定以鑑定增設模組之系統(硬體,軟體)係正規操作,並視需要啟動模組系統以將其帶入“零”位置。此外,控制器可供顯示特徵(未予圖示),諸如指示操作員增設模組,輸送艙及工具之現有構造,及允許輸入操作員指令之指令協定等,通過預期用戶介面以操作系統於增設模組或改變由工具裝載之工件處理協定以從增設模組中加入新增之有效特徵。舉例而言,在註冊輸送艙模組之聯結後,控制器將增設或啟動顯示器(未予圖示)之特徵以示意模組及其在輸送艙中相對於其他模組之相對位置,及任何模組系統之存在及狀態。同時亦可啟動諸如“軟鍵”等用戶選擇性特徵以啟始模組系統之測試程式,或教示程式(例如臂部26B之細部教示程式)。由此可知,可採用任何預期用戶介面設計,而變更態樣中可由聯結之控制器啟動更多或更少特徵。
亦參照第4A圖,係顯示處理工具410之例如沿着線狀輸送艙416之縱軸X所取之示意立面圖。第4A圖顯示典型連接於輸送艙416之工具介面部12。於此實施例中,介面部12可形成工具輸送艙416之一端。由第4A圖可見,輸送艙416亦具有另一工件入口/出口站412設在諸如介面站12之相對端。站台412係類似介面部12(亦見第1圖),而在變更態樣中之入口/出口站可具有任何其他預期構造。變更態樣中,可設置供嵌入/卸除工件自輸送艙之其他入口/出口站。實施例中,介面部12及入口/出口站412可供從工具裝卸工件。變更態樣中,可從工具之一端載入工件,及從另一端卸下。如前所述,構成第4A圖所示之輸送艙416之輸送艙模組18B,18i,裝載鎖模組56A,56B及工件站之構造/配置僅作為實例說明,在變更態樣中之輸送艙可具有任何其他預期模組配置。圖示實施例中,站台412係一裝載鎖。變更態樣中,裝載鎖模組可被設置於端部入口/出口站(類似站台412)之間,或相連之輸送艙模組(類似模組18i)可作成裝載鎖操作。如前所述,輸送艙模組18B,18i具有對應之輸送臂26B,26i設置其中。相對輸送艙模組18B,18i之輸送臂26B,26i可配合以提供輸送艙內之線狀分佈工件輸送系統420。實施例中,相對艙室模組18B,18i之輸送臂26B,26i係彼此類似者。於是,以下將參照臂部26B說明輸送臂。變更態樣中,相對艙室模組之輸送臂/裝置可具有不同構造。本實施例中之輸送臂26B具有類似第2圖所示之臂部26之通用scara構造。在變更態樣中,輸送臂具有任何其他預期構造,諸如“蛙腿”型構造,而另一變更態樣中,可固定於輸送艙及具有移動自如之末端效應器之任何其他預期輸送裝置均可採用。第4A圖所示之實施例中,輸送臂26B具有單一前臂(類似第2圖所示之前臂部26F)樞轉自如安裝於上側臂(類似第2圖所示之上側臂26U),並具有附設之末端效應器32B。輸送臂26B具有適當驅動部以提供臂部三(3)(例如沿着類似第2圖之關節26S,26E之肩部及肘部關節之獨立旋轉,及Z軸運動)或四(4)(例如沿着肩部,肘部及腕部之獨立旋轉,及Z軸運動)自由度。如第4A圖所示,本實施例中,間隙式設置於轉移艙模組18B,18i之間之模組56A,56,30i可形成輸送艙416中之線狀分佈輸送系統420之被動式部份。諸如裝載鎖56A,56及工件站30i等之間隙式模組可各具有固定工件支承/支架56S,56S1,56S2,30S1,30S2可配合輸送臂以啟動輸送工件沿着輸送艙之線狀X軸通過輸送艙全長。作為實例說明,工件可被介面部12裝載入輸送艙416。可將工件設置於具有介面部之輸送臂15之裝載鎖模組56A之支承上。裝載鎖模組56A中之工件可利用模組18B中之輸送臂26B以移動於裝載鎖模組56A與裝載鎖模組56之間,並以類似及連續辺式以臂部26i移動於裝載鎖56與工件站30i之間,及以模組18i中之臂部26i移動於站台30i與站台412之間。此項程序可作全程或部份逆行以將工件作反方向移動。因此工件可沿着X軸作任何方向之移動,並可沿着輸送艙被移至任何位置,及被載入與卸下自與輸送艙聯通之任何預期模組(處理模組或其他)。變更態樣中,具有靜定工件支承或支架之間隙式輸送艙可能未設置於輸送艙模組18B,18i之間。於該實施例中,相連輸送艙模組之輸送臂可將工件從一輸送臂之末端效應器直接轉移至另一輸送臂之末端效應器以將工件移動通過輸送艙。
再參照第4A圖,集合組成分佈輸送系統420之輸送臂26B,26i及工件支架56S,56S1,56S2,30S1,30S2係在本實施例中配置以提供輸送系統420形成多個互相分離之工件行進路徑A,R。實施例中顯示二個行進路徑A,R僅作為實例說明。變更態樣可設置更多或更少行進路徑。如前所述,供模組互相聯通及供工件轉移於模組之間之轉送艙模組18B,18i及間隙式模組56A,56,30i之工件轉移開口(類似第2A圖之開口28O)之尺寸係設計可供容納沿着多個行進路徑A,R轉移之工件者。實施例中之輸送臂26B,26i可被調位至Z位置以啟動沿着行進路徑A,R之移動,及可被調位至另一Z位置以啟動沿着另一行進路徑A,R移動。同理,在本實施例中,間隙式模組中之靜定工件支架56S,56S1,56S2,30S1,30S2可被設置以大致對準第4A圖所示之相對行進路徑A,R。由此可知,工件支架56S,56S1,56S2,30S1,30S2之容量係與輸送臂中之末端效應器32B,32i之工件容量互補。於是可藉單一抓取/放置步驟達成在末端效應器32B,32i與工件支架56S,56S1,56S2,30S1,30S2之間之轉移。於第4A圖所示之實施例中,可在間隙式模組56A,56,30i中設置緩衝支架BA,BR以對沿着行進路徑A,R輸送之工件進行緩衝作用。第4A圖所示之緩衝支架之位置及數目僅作為實例說明,而在變更態樣中之間隙式模組可具有以任何預期方式設置之任何預期數目之緩衝支架。
如前所述,實施例中由輸送艙416所形成之行進路徑具有極化行進方向,分別由箭頭A,R代表對應之行進路徑。舉例而言,沿着路徑A之行進方向係“前進”/遠離工具介面部12,而沿着路徑R之方向係“回返”/朝向工具介面部12。第4A圖所示之相對路徑之行進方向僅作為實例說明,而在變更態樣中之行進路徑可具有不同行進方向。由此可知,行進方向係在第4A圖中示意為沿着路徑A遠離/“前進”,及沿着路徑R“回返”/朝向輸送艙之預期參考位置(於本實施例中係工具介面部12)。此方向性方案係沿用於整體說明以顯示沿着輸送艙中之相對路徑A,R之行進方向而無關輸送艙構造(例如由輸送艙所形成之彎曲,轉角,轉彎,見第5-6圖)。圖示實施例中,相對路徑A,R之行進方向在整個輸送艙416中係大致固定。舉例而言,整個艙室416中沿着路徑A之行進方向係遠離介面12,而整個艙室中沿着路徑R之行進方向係朝向介面12。變更態樣中,行進路徑之不同部份可具有不同行進方向(例如在路徑一部份之行進係遠離,而在行進路徑之相連部份之行進係朝向參考位置)。於第4A圖所示之實施例中,工件支架56S,56S1,56S2,30S1,3OS2亦可根據相對行進路徑A,R之方向極化予以極化。舉例而言,工件支架56S1,30Sl(在本實施例中係如前述大致對準行進路徑A者)可維持工作沿着路徑A行進,而工件支架56S2,30S2(大致對準行進路徑R)可維持工件沿着路徑R行進。因此,當沿着路徑A移動工件時,輸送臂26B,26i可抓取/放置工件於對應路徑A之支架56S1,30S1,而當沿着路徑R移動工件時,輸送臂26B,26i可抓取/放置工件於支架56S2,30S2。同理,實施例中之間隙式模組56,30i之緩衝支架BA,Br亦可根據對應行進路徑A,R之方向極化予以極化。緩衝支架BA可緩衝沿着路徑A行進之工件,而緩衝支架BR可緩衝沿着路徑R行進之工件。實施例中工件可視需要藉調位輸送臂26B,26i予以轉移於行進路徑之間。以工具410對工件之處理作業可藉載入工件於工具介面部12中進行。由此可知,工件可在裝載器CAA中載入工具介面部12。如前所述及如第1圖所示般可藉預期AMHS系統予以輸送及由介面部12予以進站。工件可由裝載器CAA通過裝載鎖56A利用輸送臂15載入工具之輸送艙416。工件處理協定可採用對應於及設置於沿着前述行進路徑A之處理程序(由第4圖所示之處理模組PM所提供)。工件可被放置於裝載鎖56A之支架56S1,以沿着路徑A行進。如前所述,裝載鎖艙室係亦可作為加熱/冷卻站或度量站之工作站模組(類似站台30M)。舉例而言,一或多個裝載鎖工件支架(類似緩衝支架BA,BR)可具有加熱/冷卻元件以操作於座設其上之基板。於是在支架上之基板可予以加熱/冷卻。利用臂部26B,26i可將工件從裝載鎖56A沿着行進路徑A之方向(例如遠離工具介面部12)移至預期處理程序。於第4A圖所示之實施例中,行進路徑A之方向可將工件移動遠離工具介面部12及朝向入口/出口站412。如前所述,入口/出口站412可用以視需要卸除處理工件,然後處理工件可沿着行進路徑A之方向被移向站台412以從工具卸下。如果需要以對應於及排列於行進路徑R之處理程序進行工件處理協定之進一步處理時,可將如前述般將工件從行進路徑A調位至行進路徑R,並沿着路徑R方向移動至預期處理程序。如果需要通過介面部12從工具410卸除時,處理工件可沿着路徑R被輸送回返介面部12以供卸載。
參照第4C圖所顯示之處理工具410’之示意斷面圖。工具410’係類似前述之工具410(及工具10,310,510),唯一差別將另外註明。類似特徵係以類似編號代表。工具410,具有線狀輸送艙416’,如圖示係由輸送艙模組18B’,18i’及諸如裝載鎖模組56A’,56’等間隙式模組與工件站30i’串聯在一起而成。輸送艙模組18B’,18i’係彼此類似及類似前述輸送艙模組18,18A,18B,18i。輸送艙模組18B’,18i’具有輸送臂26B’,26i。圖示實施例中,輸送臂26B’,26i’係彼此類似,以下將參照臂部26B’予以詳細說明。變更態樣中之輸送艙模組具有不同構造之輸送臂。本實施例中之輸送臂26B’具有類似第2圖所示之臂部26之scara臂構造。變更態樣中之輸送臂具有任何其他預期構造。如第4C圖所示,本實施例中臂部26B’具有多個前臂部(實例中係顯示為二個),各獨立樞轉自如地安裝於上臂部(類似第2圖所示將前臂部26F安裝於上臂部26U),並具各具有附設其上之末端效應器32BA’,32BR’。Scara臂26B’可具有三(3)(例如沿着肩部(類似第2圖之肩部26S)之獨立旋轉,及各前臂部沿着對應肘部關節(類似第2圖之肘部26E)之獨立旋轉)自由度,或五(5)自由度(例如類似前述三(3)自由度加上各末端效應器沿着腕關節之獨立旋轉)。變更態樣中,臂部可具有更多或更少自由度。第4C圖所示之實施例中,輸送臂26B’可能無法進行調位。在變更態樣中,輸送艙之輸送艙模組中之一或多個輸送臂可進行調位。實施中之調位器456I’,430I’可設置於間隙式模組中。例如裝載鎖模組56A’及工件站30i’中係各設有對應之調位器456I’,430I’。第4C圖所示之輸送艙416’中之調位器之數目及位置僅作為實例說明。變更態樣中可提供更多或更少具有調位器之模組。在另一變更態樣中,具有調位器之間隙式模組係以任何預期構造設置於輸送艙。如第4C圖所示,調位器456I’可具有工件支架支承56S1’,56S2’(類似定位靜止支架56S1,56S2),與相連輸送艙模組中之輸送臂26B’配合以輸送工件通過線狀輸送艙416’。在變更態樣中之調位器具有更多或更少支架。本實施例中之調位器456I’亦具有緩衝支架BA’,BR’以緩衝裝載鎖56A’內之工件。工件站30i’中之調位器430I’係大致類似調位器456I’,具有諸如工件支架30S1’,30S2’及緩衝支架B’。變更態樣中之調位器具有任何其他預期構造。實施例中之裝載鎖模組56’具有靜止定位之工件支承支架456S1’,456S2’。工件支承支架456S1’,456S2’係大致類似第4A圖所示之工件支架56S1,56S2。變更態樣中,輸送艙之更多或更少間隙式模組可具有靜止定位工件支架,工具控制器(類似第5圖之控制器C)可如前述般自動註冊那一個間隙式模組56A’,56’,30i’具有調位器456I’,430I’之對應調位器之操作參數(例如工件及相對調位器之緩衝支架之數目及位置),及那一個間隙式模組具有靜定工件支架(及支架之相關參數)。同理,工具控制器可自動註冊輸送艙模組之輸送臂26B’,26i’之構造(例如是否具有調位功能,多個前臂部)及臂部之操作相關參數。
再參照第4C圖,輸送臂26B’,26i’及工件支架56S1,56S2,30S1’,30S2’(設在調位器456I’,430I’上)及靜定工件支架456S1’,456S2’係互相配合及形成分佈輸送系統420’以啟動工件輸送沿着前述第4A圖中相對於工具410之箭頭A,R所示方向通過輸送艙。輸送系統420’亦可形成多個行進路徑A,R。由此可知,末端效應器32BA’,32BR’,32IA’,32IR’之運動(更詳細而言係運動平面)將構成行進路徑A,R之位置(例如高度位置)。實施例中,輸送臂26B’,26i’之末端效應器32BA’,32IA’係定位行進路徑A,而另一末端效應器32BR’,32IR’係定位行進路徑R。靜定支架456S1’,456S2’係分別大致對準行進路徑A,R。設在調位器456I’,430I’上之工件及緩衝支架56S1’,56S2’,BA’,BR’,30S1’,30S2’,B可如預期設置。調位器456I’,430I’可具有足夠調位高度以將工件支架及緩衝支架轉移於大致對準行進路徑A,R之位置之間。調位器具有足夠數目之工件或緩衝支架以維持工件/緩衝支架對準各行進路徑A,R而無關調位器之調位位置(如第4C圖所示)。由此可知,此舉可供調位器從輸送容量中之位置脫離。用以抓取或放置工件之調位器之適當支架係正確設置以備對應末端效應器之抵達,使末端效應器之移動係不取決於調位器位置。
實施例中,由輸送艙416’中之輸送系統420’所形成之行進路徑A,R係具有極化行進方向。第4C圖中行進路徑A,R所採用之行進方向慣例僅作為實例說明,並類似第4A圖之慣例(例如路徑A係遠離工具介面部12’,而路徑R係朝向工具介面部12’)。變更態樣中,行進路徑可用於雙向行進。本實施例中,對應於相對行進路徑之臂部26B’,26i上之末端效應器可根據對應行進路徑之方向極化予以極化。舉例而言,末端效應器32BA’,32IA’可輸送工件沿着路徑A之方向(例如遠離介面部12’),而末端效應器32BR’,32IR’可輸送工件件沿着路徑R之方向(例如朝向介面部12’)。靜定工件支架456S1’,456S2’亦可根據第4C圖(類似第4A圖中之支架56S1,56S2)所示之對應行進路徑之方向性極化予以極化。工件在行進路徑A,R之間之轉移可藉調位器456I’,430I’予以達成。由此可知,實施例中之輸送臂26B’,26i可提供足夠但有限之Z運動以啟動將工件抓取/放置於靜定工件支架456S1’,456S2’上。變更態樣中,靜定支架具有有限Z運動以供抓取/放置工件於輸送臂之末端效應器上。以工具410’處理工件可藉類似前述有關工具410(見第4A圖)之方式予以達成,唯一不同在於工件在路徑A,R之間之移動係由調位器456I’,430I,予以啟動。
參照第8圖係顯示另一實施例之工具810之另一示意立面圖。工具810係大致類似前述及第4C圖所示之工具410’。工具810具有大致類似輸送艙416,之輸送艙816。輸送艙816具有由輸送臂826B,826i(類似輸送臂26,26A,26B,26B1),調位器856I(類似調位器456I,430I)及工件支架856S所構成成之分佈輸送系統820。輸送系統820具有多個行進路徑A,R,可供工件輸送於輸送艙內。行進路徑A,R具有極化行進方向(類似第4A-4C圖中之路徑A,R)。實施例中,工具810具有似前述及第5圖所示之通道570之“快速”轉移通道870。本實施例中之通道870係類似通道570,係可維持隔離氛圍(例如N2,真空)或控制性氛圍(例如高度純淨空氣)之線狀長型管。如圖示設置一機動車或穿梭機於其中。該管體係由模組式管件(未予圖示)所構成。變更態樣中之輸送艙模組(類似模組818B,818R)及間隙式模組(類似模組856A,856,856C)可具有一體成型之轉移管件。模組裝配後可構成輸送艙,同時構成連續轉移通道。該管體具有如圖示可聯通模組856,818i,856C之存取開口/通道876,876’,878。變更態樣中,通道870相對於第8圖之輸送艙816之位置僅作為實例說明,而通道可設置於相對於輸送艙之任何預期位置/方位(例如上側,下側,旁側等)。管體與輸送艙之間之聯通通道係如所述設置。本實施例中之通道876可連接於輸送艙之大致中間模組856,818i,而通道878可連接於輸送艙816之端部或靠近端部之模組。實施例中之模組856,856C係裝載鎖模組,而模組818i係類似前述模組18,18i,18i’之輸送艙模組。本實施例中,模組818i內之輸送臂826i可充份調位於Z方向以輸送工件於輸送艙及通道870內之穿梭機872之間通過通道876’。此外,裝載鎖模組856中之調位器856I調位於Z方向以啟動工件轉移於輸送艙及通道870內之穿梭機872之間通過通道876。連接通道876,876’,878可利用諸如適當調節門854予以關閉以將輸送艙內部與轉移通道870內之氛圍隔離。於是轉移通道與輸送艙816之間可維持不同氛圍,空氣種類,及/或壓力。舉例而言,通道870具有控制性/純化高度純淨空氣氛圍,類似(或共享)環境介面部812。前述之輸送艙具有可維持真空,惰性氣體等之部份以輔助處理程序。轉移於轉移通道及輸送艙之間之工件而不致犠牲內部氛圍係通過裝載鎖856,856C所啟動。穿梭機872可沿着箭頭X1所示方向橫越轉移通道之長度。穿梭機872具有任何適當機動車/裝載車構造。適當之機動車/裝載車之實例係見述於美國專利申請案第10/624,987號,以下援引作為本案參考。變更態樣中之穿梭機可在移動平面(諸如水平面或垂直面)作相對於轉移通道之移動。舉例而言,在變更態樣中,轉移通道可側向延伸(大致正交通道縱軸之方向)以聯通多個(例如一排側向設置)輸送艙,而穿梭機可橫越通道之長度及寬度。如第8圖所示,穿梭機872具有夾具或末端效應器888用以抓持工件。變更態樣中之穿梭機夾具可抓持用以儲存工件之匣盒及將工件輸出工具。實施例中之穿梭機872具有一體成型之調位器890可進行夾具888之調位(Z運動)藉以將工件轉移於轉移通道870與輸送艙模組之間而不需駐守調位器,諸如裝載鎖856C。如第8圖所示,轉移通道可通過適當開口/通道874與工具介面部812(類似前述之工具介面部12,512)聯通,而在本實施例中將構成輸送艙816之工件入口/出口站。由此可知,利用介面部812之輸送臂815可將工件裝載/卸載自穿梭機872。於是轉移通道870可以前述第5圖之關於轉移通道570之類似方式提供在工具810中處理之工件之通過/回復而構成通道。
爰參照第4D圖,係顯示另一實施例之工具410”之另一示意立面圖。工具410”係大致類似前述及第4A-4C圖所示之工具410,410’。工具410”具有類似前述輸送艙416,416’之輸送艙416”。工具410”亦具有類似第8圖所示之轉移通道870之快速轉移通道(未予圖示)。由此可知,類似通道570,870(見第5,8圖)之快速轉移通道可提供予處理工具10,310,410,410’之任何前述實施例。輸送艙416”具有如第4D圖所示之線狀排列之輸送艙模組18B”,18i”及間隙式模組56A”,56”,30i”。輸送系統420”係分佈於輸送艙416”上,以下將予詳述。本實施例中之輸送艙模組18B”,18i”係彼此類似及類似於前述之輸送艙模組18,18B”,18i。變更態樣中之輸送艙模組具有不同構造。基於類似性質,本實施例之艙室模組18B”,18i”將參照艙室模組18B”予以詳細說明。第4D圖所示之實施例中,輸送艙模組18B”具有多個獨立輸送臂26BA”,26BR”。輸送臂26BA”,26BR”可獨立安裝於艙室模組框架(類似第2圖之模組框架22F)。第4D圖顯示二(2)個獨立安裝臂部26BA”,26BR”作為實例說明,而在變更態樣中可具有超過二個輸送臂獨立安裝於輸送艙模組內。多個輸送臂26BA”,26BR”可被安裝於輸送艙模組之不同臂部,側面。舉例而言,如第4D圖所示,輸送臂26BA”,26BR”可被安裝於輸送艙模組框架之相對壁部,諸如頂壁與底壁部。變更態樣中,輸送臂可被安裝於輸送艙模組之相對側壁,或毗鄰壁部(諸如一輸送臂係安裝底於壁而另一輸送臂係安裝於毗鄰側壁,或各輸送臂係獨立安裝於毗鄰側壁)。實施例中,輸送艙模組18B”中之輸送臂26BA”,26BR”係大致彼此類似,以下將參照臂部26BA”予以說明。變更態樣中獨立安裝於輸送艙模組內之輸送臂係不同類型者。第4C圖所示之輸送臂26BA”係類似前述及第2圖所示之輸送臂26之scara型臂部。輸送臂26BA”可具有二(2)或三(3)自由度(例如提供第2圖中末端效應器32BA”之θ,r運動)。變更態樣中之輸送臂亦可進行調位(Z運動)。另一變更態樣中之輸送臂可具有任何其他預期構造。輸送臂26BA”可具有模組式構造,類似前述(見第2圖)之輸送臂模組26M,可供輸送臂26BA”(例如臂鏈結及驅動部)作為模組單元被安裝/卸除自輸送艙模組18B”。輸送臂26BA”及輸送艙模組框架各具有類似前述特徵34,36(見第2圖)之互補性位置控制特徵34A”,36A”,可提供輸送臂相對於模組之複現性定位。同理,輸送艙模組中之另一個獨立安裝輸送臂26BR”亦具有位置控制特徵34R”,36R”以提供各輸送臂相對於模組之複現性定位。第4D圖所示之實施例中,輸送臂26BA”,26BR”之位置可允許對應末端效應器32BA”,32BR”沿着不同輸送平面移動。本實施例中,末端效應器可沿着輸送平面進行相關平移,諸如工件可轉移在輸送平面之間,類似工件在前述行進路徑A,R之間之轉移,以下亦將參照本實施例予以更詳細說明。因此由本實施例可知,對應於相對輸送臂26BA”,26BR”之模組框架(類似框架22F)之位置控制特徵36A”,36R”可各別由共同參考基準予以達成,類似位置控制特徵36(見第9圖)之方式。此舉可避免產生定位輸送臂及彼此相對之工件輸送平面之過度限制。同理,可避免在輸送艙416”之形成期間其他輸送艙模組與間隙式模組之定位之過度限制,類似前述相對於艙室16之方式。
再參照第4D圖,本實施例中之間隙式模組56A”,56”係裝載鎖艙室。實施例中,模組56A”,56”係彼此類似,因此將參照特定模組56A”予以說明。變更態樣中間隙式模組具有不同裝載鎖艙室構造。如第4D圖所示,模組56A”具有多個獨立隔離及獨立操作之裝載鎖艙室456AA”,456AR”。第4D圖顯示二(2)個裝載鎖艙室456AA”,456AR”作為實例說明。變更態樣可視需要提供更多裝載鎖艙室。各裝載鎖艙室456AA”,456AR”可獨立聯通輸送艙模組18B”及裝載鎖艙室在其間轉移之任何其他艙室模組(例如工具介面站12)。本實施例中之裝載鎖艙室456AA”,456AR”係垂直排列,諸如作垂直堆疊。變更態樣中與輸送艙聯通之裝載鎖艙室可作垂直或水平偏離。如前所述,多個裝載鎖艙室456AA”,456AR”併入共同模組56A”以提供多個裝載艙室視需要作為單一元件予以安裝及卸除。各裝載鎖艙室456AA”,456AR”係作成諸如次模組以作諸如垂直堆疊方式配對於其他裝載鎖艙室次模組而構成一裝載鎖模組配備,可連接於其他輸送艙模組作為整體性配備。個別裝載鎖艙室次模組亦可分開安裝或卸除自輸送艙。各模組56A”,及各裝載鎖艙室次模組可具有類似介面/聯結器21(見第2圖)之適當設施介面(未予圖示),以將裝載鎖艙室連接於工具控制器(類似第5圖之控制器C)及其他設施,提供裝載鎖模組/次模組安裝於工具或從工具卸除時,工具控制器自動更新工具構造及處理協定。變更態樣中,可將多個裝載鎖艙室一體成型於共同模組框架中。另一變更態樣中,各個裝載鎖艙室係獨立模組。由此可知,多個獨立隔離/操作性裝載鎖艙室456AA”,456AR”之加入可提供諸如輸送艙模組18B”等輸送艙之隔離模組與工具介面部之間之“平行”聯通鏈結。本文中之“平行”一詞並非用以定義聯通鏈結之特定空間方位,而工件通過互聯模組18B”,12之間之各個裝載鎖艙室456AA”,456AR”之行進路徑可能並非空間性平行者。而在此之“平行”聯通鏈結實際係代表共享共同原點/目的地模組及一般沿着彼此側面延伸之聯通鏈結。“平行”聯通鏈結之有效性可提供由多個裝載鎖艙室456AA”,456AR”所連結之隔離輸送艙模組18B”,12之間之聯通之分離化或再分隔,使部份聯通程序(亦即將工件轉移於模組18B”,12之間之程序)可大致同時實施。舉例而言,裝載鎖艙室456AA”,456AR”可各別大致同時聯通不同氛圍(例如艙室456AA”可開放予工具介面部12,而艙室456AR”可大致同時開放予輸送艙模組18B”)。於是,將輸送艙模組18B’結於工具介面部12之模組56A”可同時裝卸(例如由一端裝載而另一端卸載,或兩端裝載,或兩端卸載)。同時由此可知,互聯模組18B”,12之間之淨聯通或轉移體積(由模組56A”所提供)之次分隔(基於多個裝載鎖艙室456AA”,456AR”)將產生較小泵降體積及允許轉移體積氛圍之大致同時性差異循環(例如艙室456AA”可排至介面部12而艙456AR”被泵降,反之亦然)。由此可知,其結果係增進輸送艙416”之輸送容量,同時增加工具410”之生產率。
第4D圖所示之實施例中,各裝載鎖艙室456AA”,456AR”具有工件支架以維持工件轉移通過工具介面部與輸送艙模組之間之相對裝載鎖艙室。舉例而言,各裝載鎖艙室456AA”,456AR”可具有(一或多個)支架456S1”,456S2”(類似前述及第4A,4C圖所示之支架56S1,56S2,56S1’,56S2’),可設有輸送臂26BA”,26BR”於相連輸送艙模組18B”中以輸送工件通過輸送艙416”。本實施例中,各裝載鎖艙室456AA”,456AR”亦具有類似緩衝支架BA’,RA’(見第4C圖)之(一或多個)緩衝支架456BA”,456BR”以進行工件緩衝。工件支架456S1”,456S2’及緩衝支架456BA”,456BR”可被安裝於調位器(類似調位器456I’),該調位器可將對應支架相對於裝載鎖艙室作Z方向之調位。本實施例中,各裝載鎖艙室具有調位器以進行其內之工件及緩衝支架之調位。變更態樣中,裝載鎖艙室內之一或多個支架係被靜定定位,而輸送艙模組內之輸送臂可進行調位。另一變更態樣中,一或二個輸送臂可進行充份調位使臂部可存取(裝/卸)工件於各裝載鎖艙室之一或多個支架上。與前述工具410,410’,810類似,輸送臂26BA”,26BR”,26IA”,26IR”(設於輸送艙模組18B’,18i”)及支架456S1”,456S2”(設於裝載鎖艙室456AA”,456AR”,456A”,456R”)係配置以形成工具410”之輸送艙416”中之多個行進路徑A,R。舉例而言,輸送臂26BA”,26IA”配合(間隙式裝載鎖艙室456AA”,456A”之)支架456S1”可形成行進路徑A,可供工件輸送通過線狀輸送艙及輸送至/從處理模組(類似第4D圖之處理模組PMA,PMR)對應於前述之行進路徑A。同理,輸送臂26BR”,26IR”配合(裝載鎖艙室456AR”,456R”之)支架456S2”可形成行進路徑R。由此可知,緩衝支架456BA”,456BR”可對沿着對應行進路徑A,R之工件進行緩衝。
於第4D圖所示之實施例中,間隙式模組30i”可具有一調位器430I”。調位器430I”係大致類似前述及第4C圖所示之調位器430I’。調位器430I”具有工件支架30S1”,30S2”及一或多個緩衝支架。調位器430I”可橫越行進路徑A,R之間之支架,因此可形成轉換器以供工件從一行進路徑轉換至另一行進路徑。變更態樣中之輸送艙可具有更多轉換器,而轉換器可具有任何預期構造。實施例中之行進路徑A,R可具有極化行進方向。於是,對應於相對行進路徑A,R之輸送臂及工件支架可根據對應行進路徑之方向極化予以極化。作為實例說明,輸送臂26BA”,20IA”及支架456S1”,456BA”可輸送工件沿着路徑A之指定方向(例如第4D所示實施例中遠離工具介面部12)。輸送臂26BR”,26IR”及支架456S2”,456BR”可輸送工件沿着路徑R之指定方向(例如朝向工具介面部12)。由此可知,工件可藉轉換行進路徑以轉換行進方向(例如從路徑A之方向轉換至路徑R之方向,或逆向方向),例如通過前述模組30i”中之調位器430I”。
由所述實例施可知,工具10,310,410,410’,410”,810係伸縮調節自如,並可視需要增減模組以增加或減少尺寸。該工具亦可藉連接模組構成預期構造之輸送艙以提供任何預期構造。如第7圖所示實施例之說明。本實施例之工具710係類似於其他前述實施例之工具10,30,410,410’,810。工具710具有線狀輸送艙716。工具介面部12,712A可連接於任何輸送艙模組718於任何位置或任何間隙式模組756。同理任何其他模組(例如輸送艙模組,間隙式模組,處理模組等)可予以連接,或卸除自構築區塊中之輸送艙模組,藉以提供具有預期構造之工具。如前述之控制器可自動所產生工具之更新工具構造及處理協定。
須知前述說明僅作為本發明之闡述。精於此藝者當可在不脫離本發明之範圍作成多個變更態樣。因此,本發明應涵蓋所有在本發明申請專利範圍內之各項變更態樣。
10...工件處理系統
12...介面部
13...處理部
14...艙室
16...輸送艙
16S...分路
18...輸送艙模組
18B...艙室模組
20...輸送自動操控裝置
20A...存取/開口
22F...框架
24...罩箱
26...輸送臂
26B...輸送臂
26E...肘部
26M...模組
26S...肩部
28F,28B,28L,28R...介面
30O...開口
30R...側面
30i’...工件站
32B,32BA’,32IA’,32BR’,32IR’...末端效應器
34...位置控制特徵
36...互補性特徵
56S,56S1,56S2,30S1,30S2...工件支承/支架
56A’...裝載鎖模組
56S1’,56S2’...工件支架支承
80...設施系統
80c...接頭
80V...泵
200...參考模架
260Z...Z驅動
310...工件處理系統
312...工具介面部
316...輸送艙
316LL...裝載鎖
318,318A,318I...輸送艙模組
320...輸送系統
326,326A,326i,826B,826i...輸送臂
328R,328C,328L...介面
400...控制器
412...站台
416’,516,516A-516J,816...輸送艙
410,810...工具
416...線狀輸送艙
420...輸送系統
456I’,430I’,430I”,856I...調位器
456AA”,456AR”...裝載鎖艙室
456S1”,456S2”...支架
456BA”,456BR”...緩衝支架調位器
510...處理系統
518,718,818B,818R...輸送艙模組
570...快速通道或艙室
572...輸送穿梭機或機動車
576,578...互聯通道
630...外延矽
632...介電澱積
634...光平版印刷
636...蝕刻
638...離子植入
640...快速熱處理
642...度量
644...介電澱積
648...金屬澱積
650...電鍍
652...化學機械抛光
654...閥
656...裝載鎖
658...增設特徵
662,664...裝載體堆疊器
680...設施系統
712A...工具介面部
716...線狀輸送艙
756...間隙式模組
820...分佈輸送系統
856S...工件支架
856A,856,856C...間隙式模組
870...“快速”轉移通道
872...工件裝載/卸載自穿梭機
876,876’,878...存取開口/通道
878...通道
888...末端效應器
890...調位器
第1圖係具有本發明第一實施例之特徵之基板處理裝置之示意平面圖;第2圖係第1圖之處理裝置之輸送艙模組之示意平面圖第2A圖係第2圖之輸送艙模組之立面圖;第3圖係第1圖之處理裝置之輸送艙部份之示意平面圖第4圖係另一實施例之處理裝置之輸送艙之另一示意平面圖;第4A圖係第4圖之輸送艙之示意立面圖,而第4B圖係垂直於第4A圖所示方向之不同方向之輸送艙之另一立面圖;第4B圖係另一實施例之輸送艙之另一示意立面圖;第4C圖係另一實施例之輸送艙之再一示意立面圖;第4D圖係又一實施例之輸送艙之另一示意立面圖;第5圖係另一實施例之處理裝置之示意平面圖;第6圖係另一實施例之處理裝置之另一示意平面圖;第7圖係另一實施例之處理裝置之再一示意平面圖;第8圖係另一實施例之處理裝置之示意立面圖;第9圖係再一實施例之處理裝置之部份示意立面圖;第10圖係裝置之另一部份之另一示意立面圖。
10...工件處理系統
12...介面部
13...處理部
14...艙室
16...輸送艙
18...輸送艙模組
20...輸送自動操控裝置
24...罩箱
26...輸送臂
300...開口
30R...側面
30i’...工件站
32B...末端效應器
34...位置控制特徵
36...互補性特徴
56S,56S1,56S2,30S1,30S2...工件支承/支架
56A’...裝載鎖模組

Claims (24)

  1. 一種基板處理裝置,包括:輸送艙,可在其中維持隔離氛圍及可連通地連接於裝料站,以將基板裝卸於該基板處理裝置中;輸送系統,設於該輸送艙之內部,以輸送基板;及處理艙模組之陣列,沿著該輸送艙分佈及可連通地連接於該輸送艙,以允許基板在其間轉移;其中該輸送艙係可為線狀縱向長型,並具有:至少一個輸送艙節點,各具有控制特徵,其界定測定性位置特徵,以與安裝同時地去最後定位並對齊各別的輸送艙節點,使該輸送系統能夠處理通過該至少一輸送艙節點的基板,該至少一個輸送艙節點的每一個係可被可連通地串聯連接於該輸送艙之至少另一個輸送艙節點,以啟動延長,及能夠將該基板處理裝置之至少二個艙室模組互相聯繫,各節點於其中具有駐留之基板輸送臂,以在該輸送艙節點與該至少二個艙室模組之間輸送基板;及基板緩衝器,係可連通地連接於該至少一個輸送艙節點,以進行基板之緩衝作業。
  2. 如申請專利範圍第1項所述之裝置,其中該基板緩衝器係以該輸送系統之預定行進速率以通過該輸送艙之最高基板輸送生產率進行基板之緩衝作業。
  3. 如申請專利範圍第1項所述之裝置,其中該基板緩衝器係通過式(pass through)緩衝器,且對該至少一個輸送艙節點與該至少另一個輸送艙節點之間之基板進行緩衝作業。
  4. 如申請專利範圍第1項所述之裝置,其中該基板 緩衝器具有真空泵。
  5. 如申請專利範圍第1項所述之裝置,其中該裝料站具有基板輸送容器介面及供基板通過於其在該裝置與輸送器容器之間轉移之埠口。
  6. 如申請專利範圍第1項所述之裝置,其中該二個艙室模組之至少一個係基板處理艙室模組。
  7. 如申請專利範圍第1項所述之裝置,其中該二個艙室模組之至少一個係該至少另一個艙室節點。
  8. 如申請專利範圍第1項所述之裝置,其中該至少一個輸送艙節點可與各該至少二個艙室模組隔離。
  9. 如申請專利範圍第1項所述之裝置,其中該至少一個輸送艙節點具有對應於各該至少二個艙室模組之基板轉移開口,各開口可用以允許基板通過該至少一個輸送艙節點與該艙室模組之間之該開口之轉移。
  10. 如申請專利範圍第9項所述之裝置,其中該基板轉移開口係可關閉的,以將該節點與該艙室模組隔離。
  11. 如申請專利範圍第1項所述之裝置,其中該至少一個輸送艙節點具有罩箱,用以維持其內之隔離氛圍,與該二個艙室模組之至少一個隔離。
  12. 如申請專利範圍第1項所述之裝置,其中該至少一個輸送艙節點係可選自數個不同之互換性輸送艙節點。
  13. 如申請專利範圍第12項所述之裝置,其中該輸送艙具有預定參考基準,而該至少一個輸送艙節點具有相關於該參考基準之定位特徵,用以相對於該參考基準重複地定位該至少一個輸送艙節點。
  14. 如申請專利範圍第1項所述之裝置,其中該至少 一個輸送艙節點係用以允許該至少二個艙室模組連接於在該節點的相對側上之該至少一個輸送艙節點。
  15. 如申請專利範圍第1項所述之裝置,其中該至少一個輸送艙節點係用以允許該至少二個艙室模組連接於該節點的相連側上之該至少一個輸送艙節點。
  16. 如申請專利範圍第1項所述之裝置,其中該基板輸送臂具有末端效應器,用以抓持及輸送基板,該基板輸送臂可將該末端效應器從該至少一個輸送艙節點移動至各該至少二個處理艙模組。
  17. 如申請專利範圍第1項所述之裝置,另外包括控制器,係控制性連接於該輸送艙、該輸送系統及該處理模組之陣列,其中該控制器具有分佈之控制集群設計。
  18. 一種基板處理裝置,包括:輸送艙,其具有開口,用以自該輸送艙裝卸基板,該輸送艙具有第一輸送艙模組、第二輸送艙模組及第三輸送艙模組,各包括控制特徵,其界定測定性位置特徵,以與安裝同時地去最後定位並對齊各別的輸送艙節點,使輸送系統能夠處理通過該輸送艙的基板,該第一輸送艙模組、該第二輸送艙模組及該第三輸送艙模組之每一者係可維持隔離氛圍於其中及可連通地互相連接,以將基板轉移於其間,及當該第一與該第二輸送艙模組係互相連接時,該輸送艙具有縱向線狀長型形狀;輸送系統,位於該輸送艙中,及具有可移動地安裝於各該第一,第二及第三輸送艙模組內之縱向固定位置內之基板輸送臂;處理艙模組之陣列,沿着該輸送艙之側邊分佈及可連通地連接於該輸送艙,以允許基板於其間轉移;及 至少一個基板緩衝模組,選擇性連接於該第一、第二或第三輸送艙模組之至少一個;其中該輸送艙之該縱向線狀長型形狀具有選擇性變異之縱向長度,係由該第二及該第三輸送艙模組中之至少一個與該第一輸送艙模組之選擇性的連接而啟動,其中該第三輸送艙模組與該第一或該第二輸送艙模組之選擇性連接係取決於連接於該第一與該第二輸送艙模組之間之該基板緩衝器。
  19. 如申請專利範圍第18項所述之裝置,其中該緩衝模組係通過式緩衝模組。
  20. 一種基板處理裝置,包括:裝料站,其具有埠口,以供自該裝置裝卸基板;輸送艙,可在其中維持隔離氛圍及可連通地連接於該裝料站,以允許基板在其間之通過;輸送系統,在該輸送艙之內部,用以輸送基板;及處理艙模組之陣列,沿着該輸送艙之側邊分佈及可連通地連接於該輸送艙,以允許基板在其間轉移;其中該輸送艙係可為線狀長型縱向,並且包括:至少一個輸送艙模組,於其中具有長駐之基板輸送臂,該至少一個輸送艙模組係介接及可連通地連接於該裝料站及能夠被可連通地連接於至少另一個輸送艙模組,使該裝料站、該至少一個輸送艙模組及該至少另一個輸送艙模組係縱向地串聯佈置,該至少一個輸送艙模組和該至少另一個輸送艙模組包括控制特徵,其界定測定性位置特徵,以與安裝同時地去最後定位並對齊各別的輸送艙模組,使該輸送系統能夠處理通過該輸送艙的基板;及 通過式基板緩衝器,介接及可連通地連接於該至少一個輸送艙模組,使該至少一個輸送艙模組係介置於該裝料站與該通過式基板緩衝器之間。
  21. 如申請專利範圍第20項所述之裝置,其中該至少一個輸送艙模組係介接及可連通地連接於該處理艙模組之陣列的至少一個。
  22. 如申請專利範圍第20項所述之裝置,其中該至少另一個輸送艙模組具有二個其他輸送艙模組,而該輸送艙另外包括另一個通過式基板緩衝器,其係可連通地連接到該兩個其他輸送艙模組,並位在該兩個其他輸送艙模組之間,且與該兩個其他輸送艙模組縱向串聯。
  23. 一種基板處理裝置,包括:輸送艙模組,其具有可與外界氛圍隔離之艙室,及具有安裝於該艙室之內部的輸送臂,該輸送臂具有末端效應器,用於抓持及輸送基板,並具有位在相對於該輸送艙模組之大致固定的位置之樞軸關節;另一輸送艙模組,其具有可與外界氛圍隔離之另一艙室,及具有安裝於該另一艙室之內部的另一輸送臂,該另一輸送臂具有另一末端效應器,用於抓持及輸送基板,並具有位在相對於該另一輸送艙模組之大致固定的位置之另一樞軸關節,該另一輸送艙模組係可連接於該艙室模組以構成線狀長型輸送艙,該輸送艙模組和該另一輸送艙模組包括控制特徵,其界定測定性位置特徵,以與安裝同時地去最後定位並對齊各別的輸送艙節點,使輸送系統能夠處理通過該輸送艙和該另一輸送艙的基板;及處理模組之線狀陣列,沿着該線狀長型輸送艙延伸 及連接到該線狀長型輸送艙,使基板可在該線狀長型輸送艙與該線狀陣列之至少一個處理模組之間輸送;其中該輸送臂與該另一輸送臂係互相配合以構成縱向地延伸通過該線狀長型輸送艙的行進路徑,基板係沿著該行進路徑被輸送。
  24. 如申請專利範圍第1項所述之基板處理裝置,另外包括可連通地連接於該輸送艙及/或一個或多個處理艙模組之控制器,其中該一個或多個處理艙模組之至少一個具有電子介面,該電子介面被程式化,以在與該控制器介接時自動地識別連接到該控制器的該至少一個輸送艙節點之預定特徵。
TW096118638A 2006-05-26 2007-05-25 線狀分佈半導體工件的處理工具 TWI497633B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/442,511 US8398355B2 (en) 2006-05-26 2006-05-26 Linearly distributed semiconductor workpiece processing tool

Publications (2)

Publication Number Publication Date
TW200805553A TW200805553A (en) 2008-01-16
TWI497633B true TWI497633B (zh) 2015-08-21

Family

ID=38749688

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096118638A TWI497633B (zh) 2006-05-26 2007-05-25 線狀分佈半導體工件的處理工具

Country Status (5)

Country Link
US (1) US8398355B2 (zh)
JP (1) JP5706085B2 (zh)
KR (1) KR101564359B1 (zh)
TW (1) TWI497633B (zh)
WO (1) WO2007139981A2 (zh)

Families Citing this family (53)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US8241701B2 (en) * 2005-08-31 2012-08-14 Lam Research Corporation Processes and systems for engineering a barrier surface for copper deposition
US10086511B2 (en) 2003-11-10 2018-10-02 Brooks Automation, Inc. Semiconductor manufacturing systems
US20070269297A1 (en) * 2003-11-10 2007-11-22 Meulen Peter V D Semiconductor wafer handling and transport
US7607879B2 (en) * 2004-06-15 2009-10-27 Brooks Automation, Inc. Substrate processing apparatus with removable component module
US8292563B2 (en) * 2004-06-28 2012-10-23 Brooks Automation, Inc. Nonproductive wafer buffer module for substrate processing apparatus
US9117860B2 (en) * 2006-08-30 2015-08-25 Lam Research Corporation Controlled ambient system for interface engineering
US8771804B2 (en) * 2005-08-31 2014-07-08 Lam Research Corporation Processes and systems for engineering a copper surface for selective metal deposition
US20080019806A1 (en) * 2006-07-24 2008-01-24 Nyi Oo Myo Small footprint modular processing system
US9117859B2 (en) * 2006-08-31 2015-08-25 Brooks Automation, Inc. Compact processing apparatus
US20080225772A1 (en) * 2007-03-12 2008-09-18 Shugong Xu Explicit layer two signaling for discontinuous reception
US10541157B2 (en) 2007-05-18 2020-01-21 Brooks Automation, Inc. Load lock fast pump vent
JP5795162B2 (ja) 2007-05-18 2015-10-14 ブルックス オートメーション インコーポレイテッド ロードロック高速排気および通気
DE102007058052B4 (de) * 2007-11-30 2013-12-05 Von Ardenne Anlagentechnik Gmbh Vakuumbeschichtungsanlage
ITUD20080136A1 (it) * 2008-06-13 2009-12-14 Baccini S P A Impianto per la lavorazione di piastre per circuiti elettronici
US8055373B2 (en) * 2008-09-19 2011-11-08 Inotera Memories, Inc. Automatic wafer storage system and a method for controlling the system
TWI500097B (zh) * 2009-02-23 2015-09-11 Hanmi Semiconductor Co Ltd 處理半導體封裝體之系統
JP2011114013A (ja) * 2009-11-24 2011-06-09 Sumitomo Electric Ind Ltd 半導体装置の製造装置および半導体装置の製造方法
JP2012009519A (ja) * 2010-06-23 2012-01-12 Hitachi High-Technologies Corp 真空処理装置
TW201232691A (en) * 2011-01-28 2012-08-01 Premtek Int Inc Equipment and operation method for enhancing throughput of wafer rapid thermal processing
IT1404527B1 (it) * 2011-02-24 2013-11-22 Comau Spa Polso di robot articolato.
IT1404528B1 (it) * 2011-02-24 2013-11-22 Comau Spa Polso di robot articolato.
JP5617708B2 (ja) * 2011-03-16 2014-11-05 東京エレクトロン株式会社 蓋体開閉装置
US9184078B2 (en) * 2011-05-07 2015-11-10 Brooks Automation, Inc. Narrow width loadport mechanism for cleanroom material transfer systems
KR101905823B1 (ko) * 2011-07-27 2018-10-08 엘지이노텍 주식회사 웨이퍼 제조 장치 및 웨이퍼 제조 방법
TWI637892B (zh) 2011-10-26 2018-10-11 布魯克斯自動機械公司 基板處理系統
WO2013130179A2 (en) * 2012-01-03 2013-09-06 Applied Materials, Inc. Buffer layer for improving the performance and stability of surface passivation of si solar cells
WO2013103609A1 (en) * 2012-01-03 2013-07-11 Applied Materials, Inc. Advanced platform for passivating crystalline silicon solar cells
JP6150242B2 (ja) * 2012-12-04 2017-06-21 国立研究開発法人産業技術総合研究所 製造ラインを構成するためのユニットとその組み立て方法
TWI684229B (zh) 2013-07-08 2020-02-01 美商布魯克斯自動機械公司 具有即時基板定心的處理裝置
TWI709185B (zh) 2013-08-26 2020-11-01 美商布魯克斯自動機械公司 基板搬運裝置
TWI793401B (zh) 2013-11-13 2023-02-21 美商布魯克斯自動機械美國公司 運送設備
US9948155B2 (en) 2013-11-13 2018-04-17 Brooks Automation, Inc. Sealed robot drive
US9673071B2 (en) * 2014-10-23 2017-06-06 Lam Research Corporation Buffer station for thermal control of semiconductor substrates transferred therethrough and method of transferring semiconductor substrates
KR20160071571A (ko) 2014-12-11 2016-06-22 삼성전자주식회사 기판 반송 유닛, 그를 포함하는 기판 처리 장치 및 기판 처리 방법
JP6918770B2 (ja) 2015-07-13 2021-08-11 ブルックス オートメーション インコーポレイテッド オンザフライ方式の自動ウェハセンタリング方法および装置
JP6908585B2 (ja) 2015-07-13 2021-07-28 ブルックス オートメーション インコーポレイテッド 基板搬送装置
US10607879B2 (en) 2016-09-08 2020-03-31 Brooks Automation, Inc. Substrate processing apparatus
CN108933097B (zh) * 2017-05-23 2023-06-23 东京毅力科创株式会社 真空输送组件和基片处理装置
US10903107B2 (en) 2017-07-11 2021-01-26 Brooks Automation, Inc. Semiconductor process transport apparatus comprising an adapter pendant
JP2020515026A (ja) * 2018-03-09 2020-05-21 アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated 真空処理システムおよび真空処理システムを動作させる方法
US11574830B2 (en) 2018-03-16 2023-02-07 Brooks Automation Us, Llc Substrate transport apparatus
US11535460B2 (en) * 2018-05-31 2022-12-27 Brooks Automation Us, Llc Substrate processing apparatus
US11309404B2 (en) * 2018-07-05 2022-04-19 Applied Materials, Inc. Integrated CMOS source drain formation with advanced control
US10669430B2 (en) * 2018-07-17 2020-06-02 Varian Semiconductor Equipment Associates, Inc. Anti-reflective coating for transparent end effectors
CN110835730A (zh) * 2018-08-17 2020-02-25 中智(泰兴)电力科技有限公司 7腔体立式hwcvd-pvd一体化硅片镀膜生产工艺
CN110835731A (zh) * 2018-08-17 2020-02-25 中智(泰兴)电力科技有限公司 一种8腔体立式pecvd-pvd一体化硅片镀膜工艺
CN110835733A (zh) * 2018-08-17 2020-02-25 中智(泰兴)电力科技有限公司 一种9腔体立式hwcvd-pvd一体化硅片镀膜工艺
CN110835732A (zh) * 2018-08-17 2020-02-25 中智(泰兴)电力科技有限公司 一种9腔体卧式hwcvd-pvd一体化硅片镀膜工艺
CN110835734A (zh) * 2018-08-17 2020-02-25 中智(泰兴)电力科技有限公司 一种8腔体卧式pecvd-pvd一体化硅片镀膜工艺
US11192239B2 (en) 2018-10-05 2021-12-07 Brooks Automation, Inc. Substrate processing apparatus
US20200395232A1 (en) * 2019-06-14 2020-12-17 Brooks Automation, Inc. Substrate process apparatus
CN115916481A (zh) * 2020-03-03 2023-04-04 朗姆研究公司 带有室对接系统的移动推车上的协作机器人系统

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6841485B1 (en) * 1999-04-16 2005-01-11 Tokyo Electron Limited Method of manufacturing semiconductor device and manufacturing line thereof
US20050223837A1 (en) * 2003-11-10 2005-10-13 Blueshift Technologies, Inc. Methods and systems for driving robotic components of a semiconductor handling system

Family Cites Families (62)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3294670A (en) 1963-10-07 1966-12-27 Western Electric Co Apparatus for processing materials in a controlled atmosphere
US4518078A (en) 1982-05-24 1985-05-21 Varian Associates, Inc. Wafer transport system
US4624617A (en) 1984-10-09 1986-11-25 David Belna Linear induction semiconductor wafer transportation apparatus
JPS62114403A (ja) 1985-11-13 1987-05-26 Fuji Electric Co Ltd 搬送装置
US4917556A (en) 1986-04-28 1990-04-17 Varian Associates, Inc. Modular wafer transport and processing system
US4836733A (en) 1986-04-28 1989-06-06 Varian Associates, Inc. Wafer transfer system
US5882165A (en) 1986-12-19 1999-03-16 Applied Materials, Inc. Multiple chamber integrated process system
US4951601A (en) 1986-12-19 1990-08-28 Applied Materials, Inc. Multi-chamber integrated process system
JPS63157870A (ja) 1986-12-19 1988-06-30 Anelva Corp 基板処理装置
US5040484A (en) 1987-05-04 1991-08-20 Varian Associates, Inc. Apparatus for retaining wafers
US5202716A (en) 1988-02-12 1993-04-13 Tokyo Electron Limited Resist process system
US4794863A (en) 1988-03-21 1989-01-03 International Business Machines Corporation Motive structure for transporting workpieces
JPH0221740A (ja) 1988-07-11 1990-01-24 Matsushita Electric Ind Co Ltd 回線制御方法
US5076205A (en) 1989-01-06 1991-12-31 General Signal Corporation Modular vapor processor system
US5275709A (en) 1991-11-07 1994-01-04 Leybold Aktiengesellschaft Apparatus for coating substrates, preferably flat, more or less plate-like substrates
US5766360A (en) 1992-03-27 1998-06-16 Kabushiki Kaisha Toshiba Substrate processing apparatus and substrate processing method
WO1994000868A1 (en) 1992-06-26 1994-01-06 Materials Research Corporation Transport system for wafer processing line
KR100302012B1 (ko) 1992-11-06 2001-11-30 조셉 제이. 스위니 미소-환경 콘테이너 연결방법 및 미소-환경 로드 로크
KR970011065B1 (ko) 1992-12-21 1997-07-05 다이닛뽕 스크린 세이조오 가부시키가이샤 기판처리장치와 기판처리장치에 있어서 기판교환장치 및 기판교환방법
US6296735B1 (en) 1993-05-03 2001-10-02 Unaxis Balzers Aktiengesellschaft Plasma treatment apparatus and method for operation same
US5417537A (en) 1993-05-07 1995-05-23 Miller; Kenneth C. Wafer transport device
US5538390A (en) 1993-10-29 1996-07-23 Applied Materials, Inc. Enclosure for load lock interface
JP3279032B2 (ja) 1993-12-16 2002-04-30 スズキ株式会社 船外機のエンジン回転数制御装置
TW295677B (zh) 1994-08-19 1997-01-11 Tokyo Electron Co Ltd
US5651868A (en) 1994-10-26 1997-07-29 International Business Machines Corporation Method and apparatus for coating thin film data storage disks
US5586585A (en) 1995-02-27 1996-12-24 Asyst Technologies, Inc. Direct loadlock interface
TW309503B (zh) 1995-06-27 1997-07-01 Tokyo Electron Co Ltd
JPH0936198A (ja) 1995-07-19 1997-02-07 Hitachi Ltd 真空処理装置およびそれを用いた半導体製造ライン
KR100310249B1 (ko) 1995-08-05 2001-12-17 엔도 마코토 기판처리장치
CH691376A5 (de) 1995-10-17 2001-07-13 Unaxis Balzers Ag Vakuumanlage zur Oberflächenbearbeitung von Werkstücken.
TW318258B (zh) 1995-12-12 1997-10-21 Tokyo Electron Co Ltd
TW349897B (en) 1996-02-02 1999-01-11 Komatsu Mfg Co Ltd Operational robot
US6062798A (en) 1996-06-13 2000-05-16 Brooks Automation, Inc. Multi-level substrate processing apparatus
US6318951B1 (en) 1999-07-09 2001-11-20 Semitool, Inc. Robots for microelectronic workpiece handling
TW344847B (en) 1996-08-29 1998-11-11 Tokyo Electron Co Ltd Substrate treatment system, substrate transfer system, and substrate transfer method
JP3947761B2 (ja) 1996-09-26 2007-07-25 株式会社日立国際電気 基板処理装置、基板搬送機および基板処理方法
US5894760A (en) 1997-06-12 1999-04-20 Brooks Automation, Inc. Substrate transport drive system
US6002840A (en) 1997-09-30 1999-12-14 Brooks Automation Inc. Substrate transport apparatus
KR100265287B1 (ko) 1998-04-21 2000-10-02 윤종용 반도체소자 제조용 식각설비의 멀티챔버 시스템
US6206176B1 (en) 1998-05-20 2001-03-27 Applied Komatsu Technology, Inc. Substrate transfer shuttle having a magnetic drive
US6017820A (en) 1998-07-17 2000-01-25 Cutek Research, Inc. Integrated vacuum and plating cluster system
TW442891B (en) * 1998-11-17 2001-06-23 Tokyo Electron Ltd Vacuum processing system
US6481558B1 (en) 1998-12-18 2002-11-19 Asyst Technologies, Inc. Integrated load port-conveyor transfer system
JP2000286318A (ja) 1999-01-27 2000-10-13 Shinko Electric Co Ltd 搬送システム
JP4330703B2 (ja) 1999-06-18 2009-09-16 東京エレクトロン株式会社 搬送モジュール及びクラスターシステム
US6364592B1 (en) 1999-12-01 2002-04-02 Brooks Automation, Inc. Small footprint carrier front end loader
KR20020088419A (ko) 2000-04-05 2002-11-27 동경 엘렉트론 주식회사 처리 장치
US6641350B2 (en) 2000-04-17 2003-11-04 Hitachi Kokusai Electric Inc. Dual loading port semiconductor processing equipment
US6297611B1 (en) 2000-07-06 2001-10-02 Genmark Automation Robot having independent end effector linkage motion
US6962471B2 (en) 2000-10-26 2005-11-08 Leica Microsystems Jena Gmbh Substrate conveying module and system made up of substrate conveying module and workstation
US6570273B2 (en) 2001-01-08 2003-05-27 Nikon Corporation Electric linear motor
US6852194B2 (en) 2001-05-21 2005-02-08 Tokyo Electron Limited Processing apparatus, transferring apparatus and transferring method
US6752585B2 (en) 2001-06-13 2004-06-22 Applied Materials Inc Method and apparatus for transferring a semiconductor substrate
US6918731B2 (en) 2001-07-02 2005-07-19 Brooks Automation, Incorporated Fast swap dual substrate transport for load lock
JP4821074B2 (ja) 2001-08-31 2011-11-24 東京エレクトロン株式会社 処理システム
CN1996553A (zh) 2001-08-31 2007-07-11 阿赛斯特技术公司 用于半导体材料处理系统的一体化机架
US6719517B2 (en) * 2001-12-04 2004-04-13 Brooks Automation Substrate processing apparatus with independently configurable integral load locks
TWI304391B (en) 2002-07-22 2008-12-21 Brooks Automation Inc Substrate processing apparatus
US7988398B2 (en) 2002-07-22 2011-08-02 Brooks Automation, Inc. Linear substrate transport apparatus
US7458763B2 (en) 2003-11-10 2008-12-02 Blueshift Technologies, Inc. Mid-entry load lock for semiconductor handling system
JP2005243729A (ja) 2004-02-24 2005-09-08 Asyst Shinko Inc 搬送システム
US7607879B2 (en) * 2004-06-15 2009-10-27 Brooks Automation, Inc. Substrate processing apparatus with removable component module

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US6841485B1 (en) * 1999-04-16 2005-01-11 Tokyo Electron Limited Method of manufacturing semiconductor device and manufacturing line thereof
US20050223837A1 (en) * 2003-11-10 2005-10-13 Blueshift Technologies, Inc. Methods and systems for driving robotic components of a semiconductor handling system
JP2007511104A (ja) * 2003-11-10 2007-04-26 ブルーシフト テクノロジーズ インコーポレイテッド 真空下の半導体処理システムにおいて加工中の製品を処理する方法及びシステム

Also Published As

Publication number Publication date
KR20090025270A (ko) 2009-03-10
US8398355B2 (en) 2013-03-19
TW200805553A (en) 2008-01-16
WO2007139981A3 (en) 2008-11-20
JP2009538541A (ja) 2009-11-05
WO2007139981A2 (en) 2007-12-06
JP5706085B2 (ja) 2015-04-22
US20070274810A1 (en) 2007-11-29
KR101564359B1 (ko) 2015-10-29

Similar Documents

Publication Publication Date Title
TWI497633B (zh) 線狀分佈半導體工件的處理工具
JP7432457B2 (ja) 基板処理システム
JP2009538541A5 (zh)
US9884726B2 (en) Semiconductor wafer handling transport
US8807905B2 (en) Linear semiconductor processing facilities
US20050111938A1 (en) Mid-entry load lock for semiconductor handling system