TWI450643B - 偵測電漿處理反應器之錯誤情形之方法及裝置 - Google Patents

偵測電漿處理反應器之錯誤情形之方法及裝置 Download PDF

Info

Publication number
TWI450643B
TWI450643B TW096120577A TW96120577A TWI450643B TW I450643 B TWI450643 B TW I450643B TW 096120577 A TW096120577 A TW 096120577A TW 96120577 A TW96120577 A TW 96120577A TW I450643 B TWI450643 B TW I450643B
Authority
TW
Taiwan
Prior art keywords
plasma
probe
parameter data
chamber
program
Prior art date
Application number
TW096120577A
Other languages
English (en)
Other versions
TW200806091A (en
Inventor
Douglas Keil
Eric Hudson
Chris Kimball
Andreas Fischer
Original Assignee
Lam Res Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Lam Res Corp filed Critical Lam Res Corp
Publication of TW200806091A publication Critical patent/TW200806091A/zh
Application granted granted Critical
Publication of TWI450643B publication Critical patent/TWI450643B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • Computer Hardware Design (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Description

偵測電漿處理反應器之錯誤情形之方法及裝置
隨著每一次連續產生半導體技術,晶圓直徑趨向於增加而且電晶體尺寸趨向於減小,從而導致需要晶圓程序方面的更高程度的精確性及可重複性。藉由包含使用真空室的技術處理諸如矽晶圓之半導體基板材料。此等技術包含非電漿應用(例如噴濺沉積)與電漿應用,例如電漿增強式化學汽相沉積(PECVD)、光阻剝離、及電漿蝕刻。
如今可用的電漿處理系統受若干特定錯誤模式的影響。許多此類模式難以在處理實際器件晶圓之前偵測,而且可以導致晶圓的錯誤處理。雖然偵測系統存在以診斷電漿之某些方面(例如離子密度或電場),但是沒有足夠的方法可用於錯誤的偵測。另外,此要求提供具有改良式均勻性、一致性與自診斷的電漿室。極需要便於達到此等目標的改良式電漿感測技術。
依據一較佳具體實施例,提供一方法,其用於直接監視一電漿程序,該電漿處理包含下列步驟:啟動一電漿室內的一電漿程序,獲取關於該電漿程序的電漿參數資料,以及評估用於一錯誤情形之指示的該電漿參數資料,其中藉由使用一探針獲取該電漿參數資料,在該探針中該探針之一感測表面係曝露於電漿而且係與該電漿室內的一壁或組件表面共同延伸。
評估電漿參數資料之方法可以包含將資料儲存在電腦可 讀取儲存媒體系統中,對資料進行過濾,使資料經受一演算法,及/或將該資料與現有參考資料比較,此舉產生一解釋,以及根據該解釋發佈狀況報告及/或警告。
可以使用直接探測方法測量電漿參數,例如離子通量、電漿電位、電漿密度、電子溫度、離子物種溫度及中性電子碰撞頻率。該方法包含使用一探針,其中該探針之一感測表面係曝露於電漿且係實質上與電漿室內的一壁或組件表面共面。示範性組件包含一研磨蓮蓬頭電極、一密閉環、一襯裏、一氣體分佈板及一基板支撐物。
直接感測器之一較佳具體實施例係平面離子通量(PIF)型。在其他具體實施例中,直接感測器可以係非電容耦合蘭牟爾型,其中藉由直流電源將變動電壓直接施加於探針表面而無需使用耦合電容器,並測量電流。在其他具體實施例中,使用偵測系統。偵測系統之類型包含在一介電部件及電漿之間的介面上產生一表面波的一系統,其中該電漿密度係根據該表面波而測量。
錯誤情形之指示包含:a)電漿密度資料之後RF斜坡之斜率方面發生變化以指示處理室中的處理副產品之集結;b)RF斜坡之後電漿密度在電漿密度之約5%與約20%的絕對值的之間振盪以指示氦洩漏;c)在RF斜坡之後電漿密度中出現幅度小於5%的其絕對值及持續時間小於5秒的振盪以指示匹配系統重新調諧事件; d)在匹配調諧之後電漿密度之幅度方面的穩定花費半秒以上,然後返回至適當平衡點,以指示電漿密度振幅之不穩定速率的出現;以及e)電漿密度突然下降達大於約15%的其絕對值之數量,隨後部分得以恢復,以指示電漿密閉之喪失的出現。
在將偵測器嵌入於一電漿處理室內之一表面中的其他較佳具體實施例中,偵測器可包含增強與周圍壁表面的相容性之特徵。例如,偵測器可加以溫度控制以與局部壁情形匹配。若壁包含氣體饋送孔,則亦可採用一或多個氣體饋送孔構造偵測器。此舉係藉由下列方式完成:建立從感測表面至探針之背面的至少一個氣體通路,該探針係固定成接近在缺少偵測器情況下存在的總氣體分佈圖案。
可以使用包含沉積、蝕刻及光阻剝離的各種電漿程序在電漿處理室中處理諸如矽晶圓之半導體基板材料。一電漿處理系統可以包含一電漿處理室;一氣體來源,其供應至少一種程序氣體給該處理室;以及一能量來源,其藉由給該處理氣體通電而產生的電漿。用於處理晶圓的給定序列之步驟及輸入參數設定(例如RF功率、氣流、啟動時間等)可以稱為給定"電漿程序"。由於現代半導體製造設備的複雜要求,所以通常的電漿處理系統易受各種化學、電性及/或機械故障的影響。此等故障包含(例如)不夠清潔的處理環境、真空及大氣控制設備的洩漏、程序可重複性的喪失、以及電漿密閉之喪失。通常而言,直至已處理一晶圓 或一批晶圓才偵測到此類故障。
已決定某些電漿參數(例如離子通量、電漿電位、電漿密度、電子溫度、離子物種溫度及中性電子碰撞頻率)可能與特定錯誤相關聯。偵測此等及其他電漿參數因此提供偵測並識別此等錯誤的方法。在一較佳具體實施例中,實行電漿偵測之程序而無所監視的電漿之可偵測擾動。
用於偵測處理期間的電漿參數之方法可分類為直接偵測之方法與間接偵測之方法。間接方法包括解釋從電漿發射的信號,例如電磁輻射(例如光學頻率或射頻輻射),並可能需要某些假定以便從信號擷取電漿參數,從而減小測量的可靠性。直接偵測方法包括固定一偵測器以便其係直接曝露於電漿。與自間接方法的結果之解釋相比,自直接方法的結果之解釋可以涉及較少的假定、較簡單的分析與較大的可靠性。然而,插入一物件以直接曝露於所監視的電漿中之要求可能產生電漿的擾動,且對於常規工業程序監視而言較不合格。因此,有利的係獲得具有直接偵測之較佳可靠性及間接偵測之較佳適度性的方法。在各具體實施例中,一較佳方法包括直接曝露於電漿而不將物件插入電漿中。
依據一較佳具體實施例,用於電漿處理室(例如電漿蝕刻器)的錯誤偵測之方法包含使用安裝在一電漿室壁或組件內的一感測器進行直接監視。該感測器之一感測表面係經由自然地存在於電漿室壁或組件表面前面的電漿護套而直接曝露於電漿。較佳藉由表面安裝感測器件以便該感測 表面係與壁或組件表面共同延伸而減小電漿(且尤其係電漿護套)的擾動。
較佳而言,一錯誤偵測系統可運轉以收集電漿參數資料且評估用於一錯誤情形之指示的電漿參數資料。電漿參數資料可採用任何方式加以觀察、特徵化、與已知錯誤之儲存庫("參考資料")比較、數位化、處理或分析以揭露特定錯誤。在一較佳具體實施例中,評估電漿參數資料之方法包含將電漿參數資料儲存在電腦可讀取儲存媒體系統中並執行一解釋步驟。該解釋步驟包括藉由下列方式解釋電漿參數資料:過濾、經受一演算法、經受一數學運算及/或將電漿參數資料與現有參考資料比較,從而產生一解釋。該解釋步驟進一步包括向晶圓追蹤系統或向使用者發佈包含該解釋的一狀況報告及/或警告。可視需要地,狀況報告及/或警告在系統中啟動校正步驟,將事件記錄在包含晶圓歷史的日誌中,或停止電漿室中的基板之處理。圖1之流程圖中指示一示範性方法。如此擷取之用於錯誤偵測的資訊並非用於修改用於晶圓之序列處理的程序設定。
一較佳具體實施例包括使用一探針或一感測器測量一電漿參數。該探針係佈置在一電漿室壁或組件內,並且可加以固定在反應器內的射頻(RF)偏壓或研磨表面上以便該探針之一感測表面係曝露於電漿。錯誤偵測系統進一步分析所獲得的資料。此類分析允許即時診斷在晶圓處理之過程期間電漿處理反應器中的故障模式。由錯誤偵測系統使用的一方法因此包括啟動電漿室內的電漿程序,從電漿獲取 與時間成函數關係的電漿參數資料,以及評估用於一錯誤情形之指示的電漿參數資料。
可將錯誤進一步分類為電漿室錯誤與系統錯誤。電漿室錯誤係因電漿室內的一誤差(例如髒電漿室或機械問題)而出現的錯誤,而系統錯誤係由於在處理室外面啟動的一誤差(例如氣體饋送或電源之問題)而出現的錯誤。
在一項具體實施例中,偵測器係平面離子通量(PIF)探針。PIF探針係一大面積平面蘭牟爾探針,其係與一脈衝RF來源電容式耦合。在處理期間探針感測表面係較佳大於電漿護套(例如根據電漿情形,電漿護套的範圍可以從1至5mm)。在RF叢發之應用期間,偏壓係橫跨耦合電容器而發展。當RF叢發結束時,電容器接著提供一電壓,其係足以偏壓收集表面以便從電漿收集離子。若偏壓係足以達到瞭解為"離子飽和"的情形,則在放電階段之"離子飽和"部分期間收集的測量之電流除以收集表面之面積可提供離子通量之測量。此外,隨著橫跨偏壓電容器之電壓的衰退,收集的電流亦將衰退。整個衰退階段期間的電壓對電流之繪圖產生一特徵跡線,其可加以分析以獲取用於各電漿參數的數值。
圖2示意性地顯示用於依據一較佳方法測量離子流的PIF偵測器之方面。探針20係由一平面磁碟21組成,該磁碟係藉由導體22與端子B連接。磁碟21具有一感測表面25,其直接接收並感測離子流而且具有表面積Sd 。較佳採用導電護環23包圍該磁碟以減小邊緣效應。護環23實質上軸向且 從下面包圍平面磁碟21。護環23具有表面積Sg 之一表面26,其係與感測表面25共面。較佳藉由一垂直壁27達到表面26與感測表面25之共面,該垂直壁具有一高度以便該護環與該磁碟之上表面共面。
護環23包括為磁碟21及垂直壁27提供實體支撐物的一水平基底28,且具有足夠大的直徑以便藉由垂直壁27包圍磁碟21。護環23亦包括足夠大內徑的垂直圓筒形中空軸以包含導體22與絕緣體24。該護環可包括如圖2所示加以塑造的單石件,或可包括處於電接點中的二或更多個分離件。導電磁碟及導體22之垂直壁27及水平基底28的表面係藉由絕緣體24與護環23完全絕緣。絕緣體24較佳具有連續的恆定厚度及足夠的整體性與介電常數以確保磁碟與該護環之間的電絕緣。護環23因此以圓周方式包圍導電磁碟21及導體22,但在各處均與其電絕緣。PIF探針之一範例及分析方法係揭示在美國專利第5,936,413號中,該專利的整個內容係以引用的方式併入本文中。
依據本發明,如圖3所示,探針20之磁碟21係與節點B連接。節點B較佳亦與一高阻抗電壓讀取器件(例如示波器34)連接。該高阻抗電壓讀取器件之另一側係與接地連接。具有數值Cm 的阻隔電容器31係連接在節點A與B之間。護環23係亦較佳藉由具有數值Cg 之電容器33與節點A電連接。節點A係亦與具有低輸出阻抗(通常為50歐姆)的射頻(RF)電壓來源32之第一節點連接。RF來源32之第二節點係與反應器壁(圖中未顯示)及參考電位(一般為接地(如 圖所示))連接。為使該護環之電位保持接近於探針電位,較佳選擇Cg 以便Cg /Cm =Sg /Sd 。一可選低阻抗電流測量器件35係較佳維持在電容器Cm 之放電路徑中,該器件能夠直接測量放電電流。
用於電漿參數之測量的PIF探針之使用係有時稱為布思(Booth)及佈雷思韋特(Braithwaite)方法。依據此方法,節點A係採用有順序的一系列RF信號所脈衝,此係示意性地顯示在圖4中。脈衝之平均值係零伏特,給定幅度Vcc ,持續時間T1,以及脈衝之間的延遲T2。RF振盪頻率係較佳不同於電漿之激發頻率。例如,若電漿之激發頻率係13.56MHz,則RF振盪頻率可加以選擇為12.0MHz。
圖5所示之節點A處的驅動脈衝之節點B處的回應係具有同一幅度Vcc 、同一頻率及同一平均數值零的振盪信號。在來源32之RF脈衝之效應及電漿之效應下,朝探針的電子之平均通量最初超過正離子之平均通量,從而引起電容器31的充電。節點B處的脈衝之平均電位逐漸減小,直至達到飽和負值Vbias ,其中電子及離子通量係相等的而且平均電流係零。
接著,在一脈衝結束時,當切斷RF信號時,朝探針的電子之平均通量係再次恢復至與正離子之平均通量平衡。然而,Vbias 係一足夠負電位以便在將離子向收集表面吸引時阻止電子流。若Vbias 係足夠高,則系統將處於瞭解為"離子飽和"的狀態。所獲得的淨離子流開始對電容器31進行放電。在此狀態中,節點B之電位最初隨時間而線性減 小,而且可以決定每收集面積的淨離子電流(離子通量)。此舉可以藉由經由示波器34決定dVB /dt加以完成,依據下列等式該決定的等式係與離子電流成比例:IB =Cm dVB /dt。
IB 之此決定因此作為放電電流之測量。
或者,可在電容器Cm 之放電路徑中引入低阻抗電流測量器件35。此類器件提供與時間成函數關係的放電電流之直接測量,且可用作以上決定之確認或作為以上決定的替代形式。用於決定放電電流的直接測量技術之使用由測量離子飽和狀態期間的電流之數值組成。
另外,可以分析電壓或電流資料以決定電子溫度。當橫跨Cm 的電壓下降至系統在退出離子飽和狀態51所處的點時,放電電流將展現一指數式衰退,直系統其返回到至收集表面的淨電子及離子通量得以平衡所處的狀態(圖中未顯示)。在此指數式衰退期間,可以執行放電電流(或橫跨Cm 的電壓)之分析,此舉產生電漿之電子溫度的測量。在此狀態中,電漿護套接近浮動電位Vf
單一RF脈衝及截止序列係因此足以收集淨離子通量之單一測量或其他電漿參數。可以在範圍從幾毫秒至較長週期的廣泛變動時間週期內進行此類測量。例如,典型的叢發時間可以係3至5ms而且典型的延遲時間可以係5至10ms。處理一半導體基板之過程期間的一系列此等測量之收集可以為構造與時間成函數關係的給定電漿參數之曲線圖提供資訊。
因此對於給定脈衝及截止序列而言,PIF探針直接測量離子通量。為決定電漿密度,應考量下列關係。依據電漿理論,對於帶正電的電漿而言,藉由波姆(Bohm)情形調節電流。管理關係為:Ji =qnvB (1)
其中Ji 係離子電流密度(即離子通量),q係基本電荷,n係電漿或離子密度以及vB 係波姆速度,其係由下列等式提供:vB =(kTe /Mi )1/2 。 (2)
此處,Te 係電漿中的電子溫度,並且Mi 係離子質量。電漿密度與測量的IB 之間的關係因此係:n=Ji /(qvB )=IB /(Sd q vB )。 (3)
因此,為決定離子通量資料的電漿密度,必須瞭解Te 及Mi 。Mi 係從使用的氣體瞭解,並且可從以上給定的分析決定Te ,或可以估計Te 。例如,可以使用4eV的估計。
在另一較佳具體實施例中,偵測器係非電容型。在非電容感測器的情況下,藉由直流電源將一電壓直接施加於表面而無需使用耦合電容器。接著改變電壓並測量汲取的電流。標準蘭牟爾探針理論因此可用於分析所獲得的汲取電流對電壓資料。蘭牟爾探針理論之方面包含電漿護套之I-V特徵的分析,即流入電漿中的表面、與橫跨護套的電壓降成函數關係的電流密度。可以從此類分析擷取的示範性電漿參數包含電子溫度、電子密度及電漿電位。在某些情況下,亦可以決定離子密度、離子溫度及電子能量分佈 函數。非電容型感測器之較佳幾何結構係平面的。
在另外的較佳具體實施例中,可以使用其他偵測系統,例如包含在介電部件及電漿之間的介面上產生一表面波的一系統,其中電漿參數係根據該表面波而測量。可以從此類系統擷取的示範性電漿參數包含電漿密度。此類系統係揭示在美國專利第6,541,982號中,該專利的整個內容係以引用的方式併入本文中。額外的示範性偵測系統包含電方法,例如"赫爾克裏斯"(Hercules)電漿監視系統,其係基於自激發電子電漿共振譜學(SEERS)。赫爾克裏斯方法使用插入電漿室壁中的一同軸感測器,在電漿室壁中該同軸感測器作為電漿室壁的一部分。赫爾克裏斯方法之使用可以決定電漿參數,例如電子碰撞速率、電子密度與大型電源。
在一較佳具體實施例中,該方法因此包括即時偵測至少一個電漿參數,繪製與時間成函數關係的至少一個電漿參數,以及估定該或該等繪圖以識別電漿室錯誤或系統錯誤。或者,可以將該或該等繪圖與已知錯誤之儲存庫比較以識別相關聯的錯誤。一旦偵測到錯誤,則可視需要地通知晶圓控制系統之操作者。資訊可以係以對操作者的警告或警報之形式,例如藉由顯示用於操作該電漿室的監視器方面的資訊訊息,或藉由將用於操作該電漿室的系統記錄為"停止"。或者,可以將資訊儲存在晶圓追蹤系統或其他資料庫中以後來進行評估。
在各具體實施例中,安裝在電漿室內各地點處的複數個 感測器之使用可以提供給定電漿參數之均勻性的測量。電漿均勻性的即時測量可提供額外的錯誤偵測方案並致能改良式自診斷。
因此說明依據一較佳具體實施例之電漿處理室中的錯誤偵測之若干範例。在所有情況下,PIF探針均係嵌入在Exelan 2300TM 電漿蝕刻系統之蓮蓬頭電極的中心位置處以便能夠在處理半導體晶圓期間收集電漿參數資料。使用共同蝕刻配方處理晶圓並以每30ms一次測量(約33次測量/秒)的標稱頻率收集資料。在此等範例中,所決定的電漿參數係電漿密度,其在此情況下係繪製成與時間成函數關係。因為資料收集之速率較高,所以繪製的資料點顯現為實線。在示範性繪圖中,資料收集係一般由於硬體限制而切斷約27秒。範例1至6中使用的配方包含下列情形之範圍內的蝕刻程序:電漿室壓力:50至150mtorr,2MHz RF功率:2600至3600W,27MHz RF功率:1700至2500W,使用的氣體:具有250至350sccm的氬氣、具有13至23sccm的C4 F8 、具有4至12sccm的O2
範例1:可以在電漿處理室中實行各種程序。蝕刻及光阻剝離程序係通常在電漿環境中執行並需要在單一電漿室內連續地執行兩個程序。於同一電漿室中執行不同電漿處理步驟的能力係視為有利的,因為因此可以減少總處理時間("循環時間")。當在同一電漿室中連續地實行不同電漿處理步驟時,較佳完全移除自一個處理步驟的殘餘物,然後開始下一程序步驟。完全殘餘物移除會提升處理的一致 性並減小"程序記憶體",即以逐個晶圓為基礎引起程序性能方面的漂移之殘餘物集結效應。
因此有利的係具有用於決定對應於何時需要電漿室清潔的電漿室錯誤情形之方法。此類方法將提升最佳清潔方案之決定,且使得使用者可查看晶圓上程序或器件性能與電漿室情形之間的關聯。太頻繁地清潔電漿室可以減小生產率,而太少地清潔電漿室可以產生程序漂移。
電漿參數資料之第一分析係提供在圖6a及6b中。圖6a顯示一清潔電漿室中的一晶圓之處理期間與時間成函數關係的電漿密度。該資料顯示隨RF斜坡63之後的遞減斜率61以及最高電漿密度之成績(約17.5秒處)。圖6b顯示在已處理接近額外50個晶圓之後用於在同一電漿室內處理的一晶圓之同一曲線。該資料顯示一很類似的曲線,但是隨RF斜坡之後的資料之斜率係較平坦(62),即其具有較小的負斜率。較小的負斜率指示電漿蝕刻程序中通常所見的類型之處理室中以聚合碳氟化合物為基礎的副產品集結,並且指示需要電漿室清潔以將系統恢復至其原始程序情形。
在一較佳具體實施例中,此情形可以將一電漿室錯誤識別為後RF斜坡資料之斜率的絕對值何時以數字方式降低至某一數值以下。因此,藉由實行此方法,可以識別由於電漿室清潔度之喪失而起的一電漿室錯誤。在其他具體實施例中,藉由建立斜率與處理性能特徵之間的關係,可以將後RF斜坡資料之斜率用作電漿室清潔度的指示項。以此方式,可以監視電漿室的狀態並且決定何時可以預期一錯 誤。
在替代性具體實施例中,有意將測量的聚合物之數量沉積在電漿室壁表面上,然後實施蝕刻程序。此類程序係稱為"電漿室調節"。以上詳細說明的方法(其中後RF斜坡資料之斜率的絕對值以數字方式降低至某一數值以下)可用於識別一電漿室錯誤:一電漿室未做好開始一蝕刻程序的準備。
範例2:在週期性維護及其他修理之過程期間,可拆卸電漿室以進行清潔、修理或升級。因為在高真空下實行電漿室中的程序情形,所以維護之後的電漿室之適當組裝係關鍵的。在組裝期間,應該適當緊固用於固定電漿室及電漿室內的元件之螺栓(通常採用正確的序列),以便電漿室能最佳地發揮性能。併入氦通路的蓮蓬頭電極裝配件中或具有用於晶圓後冷卻之氦通路之底部電極中的螺栓之不適當的緊固可以在電漿處理期間導致氦"點亮",其中背側氦會在電漿處理及離子化期間不利地得以引入電漿室中,從而形成電漿。
電漿參數資料之第二分析係因此提供在圖7a及7b中。圖7a顯示一晶圓之處理期間與時間成函數關係的電漿密度,在該處理期間電漿室係在遭受已不正確地加以緊固之底部電極螺栓,從而產生氦洩漏。該資料顯示RF斜坡73之後約8×109 離子/cm3 的振盪71,其係電漿密度之約10%的絕對值。此電漿振盪在視覺上並非顯然。圖7b顯示校正電極螺栓的緊固之後在同一電漿室中加以處理的一晶圓之同一曲 線。該資料顯示一很類似的曲線,但是實質上已消除後RF斜坡振盪(72)。在一較佳具體實施例中,分類為電漿室錯誤的此情形可用於提醒操作者關於電漿室之情形或儲存在資料庫中。因此,藉由實行此方法,可以識別由於氦洩漏而起的一電漿室錯誤。
範例3:為達到晶圓間程序的一致性,需要處理室經歷對每一個晶圓的同一序列步驟。例如,箝制電壓較佳具有同一大小而且每次處理一晶圓時得以施加達同一持續時間。氣流必須達到設定通量及持續時間,而且程序步驟持續時間及此等持續時間期間的電漿情形必須加以重製為高度精確性以便確保一致的晶圓間處理。因此若期望系統之一參數保持恆定,則該參數在處理期間偏移係不合需要的。特定言之,在RF匹配系統找到一所需調諧點之後,期望系統應在整個蝕刻步驟中保持該點。一蝕刻步驟期間隨機重新調諧匹配系統可以產生未受控制的程序變化。
電漿參數資料之第三分析係因此提供在圖8a及8b中。圖8a顯示一晶圓之處理期間與時間成函數關係的電漿密度,在該處理期間重新調諧匹配系統。在此範例中,重新調諧出現在RF斜坡85之啟動後約7秒以及在後RF斜坡週期期間,從而在資料中產生短暫振盪(81)。電漿密度中的振盪之大小係小於電漿密度之5%的絕對值,而且持續時間係小於5秒。該事件係在圖8b之螢幕影像中確定為匹配系統重新調諧事件。圖8b顯示第一組二個曲線83,其指示與時間成函數關係的2MHz及27MHz RF來源頻率;以及第二 組二個曲線84,其指示2MHz及27MHz RF正向功率(瓦特)。於對應於RF斜坡86之啟動後約7秒之一時間處在2MHz正向功率82中觀察的跳躍確定圖8a中所示的重新調諧事件。
在一較佳具體實施例中,分類為系統錯誤的此情形可用於提醒操作者或晶圓追蹤系統:正在處理的特定晶圓已在處理期間經歷重新調諧。例如,從決定在非序列程序之過程期間匹配系統重新調諧事件出現在隨機時間,可以決定一系統錯誤存在,從而需要檢驗電漿系統。任意匹配系統重新調諧事件的偵測指示硬體故障或其他類型的故障可能出現。因此,藉由實行此方法,可以識別由於匹配系統重新調諧事件之出現而起的一系統錯誤。作為回應,操作者可停止處理並啟動維護程序。
範例4:為達到程序的一致性及電漿室診斷,可使用關於系統的額外元件。任一系統之一需要的特徵係穩定性。在蝕刻程序之過程期間,電漿的擾動可能會產生程序誤差,例如蝕刻速率方面的變化。此可能係由於出現故障的質量流控制器或其他硬體誤差。另一需要的特徵用以達到電漿密度振幅的快速穩定速率。在擾動之後,系統應該迅速地返回至其適當的平衡點。恢復程序中的過分延遲亦係需要調查電漿產生硬體的一錯誤之特徵。
電漿參數資料之第四分析係因此提供在圖9中。類似於以上說明的其他曲線圖,圖9之曲線圖顯示與時間與函數關係的電漿密度,但是在此情況下,比例得到偏移並擴 大,因此顯示RF斜坡之後的僅11秒。顯示匹配調諧的一振盪係呈現在約17秒處,如91處所示,該振盪顯示調諧之後穩定性花費約完整一秒。該圖亦顯示一重新調諧事件,其持續僅約0.5秒。
在一較佳具體實施例中,此情形可用於建立關於一電漿系統或一個別電漿系統之某些特徵。此類特徵可用於電漿室間特徵化及個別電漿室中的不完整性之識別。此情形之起因包含與維持電漿密閉及維持設定氣流相關的硬體之故障。在偵測到此錯誤之後,可以停止晶圓處理並且可將晶圓棄置。可以藉由與其他感測器的關聯建立匹配調諧事件與重新調諧事件之間的差異。在其他感測器以較低頻率(例如0.1Hz)記錄相關的匹配資料之事件中,該事件因此可理解為重新調諧事件。因此,藉由實行此方法,可以識別由於電漿密度振幅之不穩定速率之出現而起的一系統錯誤。
範例5:任一系統之另一需要的特徵係在晶圓間電漿特徵保持恆定。在此類特徵當中,電漿密度及離子通量係主要的。若在處理期間出現電漿密度或離子通量偏移的一電漿室錯誤事件,則對晶圓處理的反效應可能會出現。特定言之,應瞭解蝕刻速率可能受到影響。
電漿參數資料之第五分析係因此提供在圖10中。在此情況下,曲線圖顯示用於連續處理的二個晶圓之與時間成函數關係的電漿密度。該等晶圓係稱為由資料曲線103所代表的"晶圓n",及由資料曲線104所代表的"晶圓n+1"(已移 除用於晶圓n+1的無效資料點)。該等曲線係重疊的,並且不能有效地與資料透過101處顯示、出現在約14秒處的RF斜坡之啟動而在5秒處開始的時間點區分。在出現於約17秒處的晶圓n+1之RF斜坡期間,電漿未得到密閉,即出現電漿透過密閉環洩漏。此係藉由電漿密度突然下降(如102處所示)並隨後部分得以恢復而反映。電漿密度的下降超過電漿密度之15%的絕對值。可以看出,對於處理序列103中的同一週期而言,與在晶圓n之處理期間出現的電漿密度相比,對如104處所示的晶圓n+1之其餘處理在較低電漿密度的情況下出現。電漿密度中的此類衰落之可能結果係不良處理或錯誤處理的晶圓。
在一較佳具體實施例中,可以係一電漿室錯誤或一系統錯誤的情形可用於建立關於一個別電漿室的某些特徵。此類特徵可用於電漿室間特徵化及個別電漿室中的不完整性之識別。此情形之起因包含與維持電漿密閉或氣流相關的硬體之故障、髒電漿室情形、及表面上具有不合需要的材料(例如光阻膜中的污染物)之晶圓。在偵測到此錯誤之後,可以停止晶圓處理並且可將晶圓棄置。因此,藉由實行此方法,可以識別由於密閉之喪失而起的一錯誤。
電漿參數資料之分析亦可用於識別在同一類型的晶圓上運行同一程序配方的電漿室群組中的電漿室匹配之缺乏,或用於確保一新的電漿室或已得到清潔或經受維護的電漿室與某一電漿室合格規格匹配或滿足該規格。例如,處理室群組可以在結構上相同並且藉由相同程序情形所操作, 而且可能需要使用採用PIF探針獲取的資料在操作情形下比較此類處理室之性能。因此,藉由實行電漿室匹配,可以識別可能並非在充分執行的一電漿室。
在一較佳具體實施例中,電漿參數係藉由使用具有曝露於一電漿室之內部的感測表面之一探針而獲取,且更佳而言,其中該探針係嵌入在電漿室之一電漿室壁或組件(例如研磨電極)中。圖11顯示一PIF探針(例如圖2所示的探針)之示範性示意描述,該探針係嵌入在多件蓮蓬頭電極裝配件之內部電極部分中。較佳固定探針110以便平面磁碟113之感測表面117係與蓮蓬頭電極118共同延伸(例如共面),因此該探針將具有對電漿的最小影響。該探針包括採用諸如鋁或石墨之材料製造的導體111。為建立操作該探針所需要的電路(例如圖3示意性所示的電路),至該探針的電連接(即導體111)較佳透過壁、視窗、真空饋送或連接器(圖中未顯示)從電漿室裏面至電漿室外面橫越電漿室包含物。熟習技術人士應瞭解,探針110對應於探針20,平面磁碟113對應於平面磁碟21,以及導體111對應於導體22。圖2之護環23及絕緣體24對應於圖11中的絕緣體112。
導體111係由電絕緣體112包圍以使探針與電極裝配件電絕緣。絕緣體112係較佳採用諸如石英之絕緣材料製造,並且具有包括探針211之背面的一表面。較佳藉由採用用於製造噴啉頭電極的相同材料(例如矽)來製造平面磁碟113而減小電漿的擾動及電漿護套。此外,若電極118係摻雜為給定電阻率,則平面磁碟113係較佳摻雜為同一電阻 率,但在需要的情況下亦可摻雜為不同的電阻率。例如,若石英絕緣112係在擾動RF路徑,則採用不同於電極118之摻雜量的用於磁碟113之一摻雜量,可較佳達到恆定的RF路徑。在需要的情況下,探針110可加以安裝於其他電漿室表面中及/或感測表面117可採用可與電漿處理情形相容的一介電塗層加以覆蓋,例如磁碟113可以係鋁或鋁合金,其中表面117上具有一陽極層。
為進一步達到探針對電漿的最小影響,可以修改探針之溫度及探針周圍的氣流。特定言之,較佳使用(例如)溫度控制組件115對探針進行加熱。組件115可以係電阻加熱器或可以係用於對可以加熱或冷卻之溫度控制流體進行循環的管子。可以藉由交流或直流電源(圖中未顯示)給電阻加熱器供電。可以藉由一溫度控制器(圖中未顯示)控制加熱,設定該溫度控制器以便感測表面117之溫度係與蓮蓬頭電極之溫度相同。
通常由氣體饋送孔114提供的氣流可能由於探針的存在而受到干擾。對氣流及因經對電漿的干擾係較佳藉由使用探針中的一或多個可選氣體饋送孔116而最小化,該等孔建立至一共同充氣室(圖中未顯示)的氣體通路,該充氣室供應氣體給定位在電極後面的石墨底板119。石英絕緣體及平面磁碟113中的共線氣體通路116形成連續的氣體通路,而且係較佳固定成接近於在缺少探針的情況下存在的總氣體分佈圖案。在一較佳具體實施例中,氣體通路係固定在探針中,其中該等氣體通路係通常在缺少探針的情況 下定位於蓮蓬頭電極中。
圖12顯示嵌入在多件蓮蓬頭電極裝配件之內部電極部分中的PIF探針之一示範替代性具體實施例之示意描述。已調適平面磁碟113以藉由減小感測表面之厚度而減小寄生電容。平面磁碟123具有一管狀延伸部分225,其係調適用於接收導體122且與該導體協同作業以提升對準及導電率。平面磁碟123及導體122透過導電板片彈簧125進行機械及電性相通。板片彈簧125亦改良組裝之便利,例如藉由能獨立移除平面磁碟123能而無需移除探針之其他部分。
導電螺紋螺栓226使平面磁碟123能前進以補償磁碟123與曝露的矽表面227之間由於長期曝露於電漿環境而起的任何差動磨耗率。由於可壓縮材料之密封件127(例如O形環)的存在,螺栓226可以在密封件127之膨脹或壓縮之極限內前進或縮回,從而將表面227移動至所需位置。為確保探針中的氣體饋送孔保持對準,一或多個鍵或梢124可確保因使導電螺紋螺栓226前進而供應給平面磁堞123的力矩將不會就絕緣體223而旋轉平面磁碟123。為安裝鍵或梢124,周圍的介電材料223較佳係以二個部分的形式,該二個部分配合在管狀延伸部分225周圍。較佳而言,平面磁碟123及導體122係由絕緣體223包圍,該絕緣體用於使探針123、導體122及螺栓226與電極128及石墨底板129電絕緣。為建立操作該探針所需要的電路,透過導電螺紋螺栓226至該探針的電連接較佳透過壁、視窗、真空饋送或連 接器(圖中未顯示)從電漿室裏面至電漿室外面橫越電漿室包含物。
絕緣體223亦用作支撐平面磁碟123並將其方位維持為朝電漿室內部。絕緣體223因此包括探針121之背面。探針與電極裝配件的電絕緣係由外部絕緣體222所完成,該絕緣體係以一圓筒形管子的形狀,該圓筒形管具有一上肩部,其配合在底板129之上側中的一凹入部分中。絕緣體223上的類似肩部坐落在絕緣體222之上肩部上,而絕緣體222包圍絕緣體223且與其密切接觸。外部絕緣體222延伸至電極123及感測表面227之下表面且具有與該下表面共面的一表面。在曝露於電漿期間,外部絕緣體222可以經歷磨耗。一外部絕緣體之優點包含由於由曝露於電漿環境產生的磨耗而必須加以更換的部分之尺寸及複雜性方面的減小。
透過探針的氣流228受氣體饋送孔229的影響。石英絕緣體及平面磁碟中的共線氣體饋送孔形成連續氣體通路。氣體通路係較佳固定成接近於在缺少探針情況下存在的總氣體分佈圖案。在一較佳具體實施例中,氣體通路係固定在探針中,其中該等氣體通路係通常在缺少探針的情況下定位於蓮蓬頭電極中。
O形環127係用於維持位置且維持導體122與蓮蓬頭裝配件之部分的電絕緣。O形環127與鍵124係較佳採用非導電、抗熱與機械彈性材料(例如鐵氟龍)製造。一溫度控制組件221可以係電阻加熱器或用於對溫度控制流體進行循環的管子,該流體可以對探針進行加熱或冷卻以與周圍部 分的溫度匹配。
圖13顯示嵌入在多件蓮蓬頭電極裝配件之外部電極部分中的PIF探針之另一示範替代性具體實施例之示意描述。該設計係類似於圖12所示用於內部電極的設計,但是已包含某些修改。在此設計中,已省略可選氣體饋送孔。另外,已省略可選構件之細節,該構件用於使平面磁碟136A前進以補償曝露的矽表面227與蓮蓬頭電極表面之間的任何差動磨耗率。
如圖13所示,平面磁碟136A係調適成減小寄生電容,並且具有管狀延伸部分136B,其用於接收導體135並與其協同作業以提升對準及導電率。具有延伸部分136B及導體135的平面磁碟136A係由絕緣體133包圍,該絕緣體用於使探針及導體135與周圍的電極139及石墨底板132電絕緣。絕緣體133係較佳二部分件,其配合在管狀延伸部分136B周圍以便支撐平面磁碟136A並將其方位維持為朝電漿室內部。探針與電極裝配件的電絕緣係由外部絕緣體133A所完成,該絕緣體係以一圓筒形管子的形狀,該圓筒形管子具有一上肩部,其與底板132中的一凹入部分配合。
O形環138係用於維持位置且維持導體135與蓮蓬頭裝配件之其餘部分的電絕緣。O形環138係較佳採用非導電、抗熱與機械彈性材料(例如鐵氟龍)製造。熱控制板131係與石墨底板132密切接觸。建立與探針的電接觸之RF饋電桿134係顯示為垂直於嵌入在導體135內的圖之平面。為建立操作該探針所需要的電路,RF饋電桿134較佳透過壁、視 窗、真空饋送或連接器(圖中未顯示)從電漿室裏面至電漿室外面橫越電漿室包含物。平面磁碟136A透過使用板片彈簧及施加於介面137上的延伸部分136B之導電噴濺塗層而維持與導體135的良好電接觸。
圖14顯示可以包含一或多個探針的1/2個蓮蓬頭裝配件100之示意描述。該蓮蓬頭包括一頂部電極143及定位於該頂部電極143的一可選底部件142、一熱控制板141及一頂部板146。頂部板146可以形成電漿處理室之可移除頂部壁。頂部電極143較佳包含一內部電極部件145及一可選外部電極部件147。內部電極部件145較佳係採用單晶矽製造的圓筒形磁碟。在需要的情況下,內部及外部電極145、147可採用單件材料(例如CVD碳化矽、單晶矽或其他適當材料)製造。
外部電極部件147可以係連續部件(例如多晶矽或碳化矽部件,如環狀物)或分段部件(例如2至6個配置成環狀組態的分離片斷,如單晶矽之片斷)。其中在頂部電極143包含多片斷外部電極部件147的具體實施例中,片斷較佳具有邊緣,其彼此重疊以保護底層焊接材料不會曝露於電漿。電漿密閉環裝配件(圖中未顯示)較佳包圍外部電極。內部電極部件145較佳包含多個氣體通路144,其用於將程序氣體注入頂部電極143下面的電漿反應室中的空間。外部電極147較佳在電極143之周邊形成一凸出段差。段差式電極之另外的細節可以在共同擁有的美國專利第6,824,627號中找到,該專利之揭示內容係因此以引用的方式併入本文 中。
圖14亦顯示圖2所示、嵌入在蓮蓬頭電極裝配件140中的類型之探針的一示範性具體實施例,該裝配件可併入一或多個此類探針。因為圖11及12所示的探針包括可選氣體饋送孔,所以頂部電極143較佳分別對應於圖11及12之電極118及128。此外,底部件142較佳分別對應於圖11及12之底板119及129。例如,較佳採用氣體饋送孔對探針148進行組態,該等探針係圖11及圖12中描述的探針。因為圖13所示的探針並不包括氣體饋送孔,所以外部電極147較佳對應於圖13之電極139。此外,熱控制板141較佳對應於圖13之熱控制板131。例如,較佳在沒有氣體饋送孔的情況下對諸如圖13所示的探針之探針149進行組態。
圖15顯示依據一較佳具體實施例的一電漿處理室之示範性簡化示意圖。所描述的系統係一並列板電漿系統150,例如可從蘭姆研究公司購得之EXELANTM 2300系統。系統150包含一電漿室,其具有一內部157,該內部係藉由與反應器之底部中的一出口連接的真空幫浦158而維持在所需真空壓力。蝕刻氣體係從氣體饋送(圖中未顯示)供應給電漿反應器。一中間密度電漿係在反應器中藉由雙頻率配置而產生,其中自RF來源159的RF能量係透過一匹配網路(圖中未顯示)而供應給一帶電的下電極151。RF來源159係顯示為組態用於供應具有27MHz及2MHz的RF功率,然而,可以使用不同頻率來源的許多組合。藉由密閉環154協助電漿密閉。一上電極153係接地且係與加熱式頂部板152連 接。一基板(例如晶圓(圖中未顯示))係夾緊於由熱邊緣環155包圍的靜電夾盤(ESC)156。
另外,可以使用其他電容耦合反應器,例如EXELANTM HPT系列;或其中將RF電源供應給兩個電極的電容耦合反應器,例如共同擁有的美國專利第6,090,304號中說明的雙頻率電漿蝕刻反應器,該專利之揭示內容係因此以引用的方式併入本文中。儘管已結合電容耦合電漿處理室說明較佳具體實施例,但是該等具體實施例亦適用於感應耦合電漿處理室(例如自蘭姆研究公司的變壓器耦合電漿或TCPTM 電漿處理室),或電子迴旋共振(ECR)電漿處理室。
雖然以上圖14顯示併入蓮蓬頭電極中的平面探針,但是若探針表面係曝露於電漿室內的電漿環境,則可以將一探針併入其他電漿室部分(例如一壁、一襯裏、一密閉環、一聚焦環、無氣體出口的一電極、一氣體分佈板與一基板支撐物)中。一平面探針可加以佈置在一上電極之中心部分中以便測量接近於基板的電漿參數,或可加以佈置在該上電極之一外部部分中以便該探針並非直接位於一基板上。
在另一具體實施例中,佈置複數個探針以便該等探針的感測表面係面對一電漿室之內部。複數個探針可以提供與電漿之均勻度相關的資訊,或可以提供一內部相對校正。例如,一探針可加以固定在蓮蓬頭電極之中心處或其附近而且額外探針可加以固定在與蓮蓬頭電極之中心位置不同的半徑處。雖然已參考本發明之特定具體實施例詳細說明 本發明,但是熟習技術人士應明白可以進行各種改變與修改,並且可使用等效物而不脫離所附申請專利範圍之範疇。
20‧‧‧探針
21‧‧‧平面磁碟
22‧‧‧導體
23‧‧‧護環
24‧‧‧絕緣體
25‧‧‧感測表面
26‧‧‧表面
27‧‧‧垂直側壁
28‧‧‧水平基底
31‧‧‧阻隔電容器
32‧‧‧RF電壓來源
33‧‧‧電容器
34‧‧‧示波器
35‧‧‧低阻抗電流測量器件
100‧‧‧蓮蓬頭裝配件
110‧‧‧探針
111‧‧‧導體
112‧‧‧絕緣體
113‧‧‧平面磁碟
114‧‧‧氣體饋送孔
115‧‧‧組件
116‧‧‧氣體饋送孔
117‧‧‧感測表面
118‧‧‧蓮蓬頭電極
119‧‧‧石墨底板
121‧‧‧探針
122‧‧‧導體
123‧‧‧平面磁碟
124‧‧‧鍵或梢
125‧‧‧板片彈簧
127‧‧‧O形環
128‧‧‧電極
129‧‧‧石墨底板
131‧‧‧熱控制板
132‧‧‧石墨底板
133‧‧‧絕緣體
133A‧‧‧外部絕緣體
134‧‧‧RF饋電桿
135‧‧‧導體
136A‧‧‧平面磁碟
136B‧‧‧延伸部分
137‧‧‧介面
138‧‧‧O形環
139‧‧‧電極
140‧‧‧蓮蓬頭電極裝配件
141‧‧‧熱控制板
142‧‧‧底部件
143‧‧‧頂部電極
144‧‧‧氣體通路
145‧‧‧內部電極部件
146‧‧‧頂部板
147‧‧‧外部電極部件
148‧‧‧探針
149‧‧‧探針
150‧‧‧電漿系統
151‧‧‧下電極
152‧‧‧頂部板
153‧‧‧上電極
154‧‧‧限制環
155‧‧‧邊緣環
156‧‧‧ESC
157‧‧‧內部
158‧‧‧真空幫浦
159‧‧‧RF來源
211‧‧‧探針
221‧‧‧溫度控制組件
222‧‧‧絕緣體
223‧‧‧絕緣體
225‧‧‧管狀延伸部分
226‧‧‧螺栓
227‧‧‧表面
228‧‧‧氣流
229‧‧‧氣體饋送孔
A‧‧‧節點
B‧‧‧節點
Cg ‧‧‧電容器
Cm ‧‧‧電容器
圖1顯示錯誤評估方案之流程圖。
圖2顯示一PIF探針之示範性具體實施例。
圖3顯示一PIF探針及代表性電路之示範性示意圖。
圖4顯示節點A處的示範性RF信號脈衝。
圖5顯示節點B處的回應信號。
圖6(包含圖6A及6B)顯示偵測電漿室清潔之需求的診斷方法之一具體實施例。
圖7(包含圖7A及7B)顯示偵測由於不適當安裝的電極裝配件螺栓而起的氦"點亮"的診斷方法之一具體實施例。
圖8(包含圖8A及8B)顯示偵測匹配"重新調諧"事件的診斷方法之一具體實施例。
圖9顯示偵測電漿密度振幅之一匹配/電漿穩定速率的診斷方法之一具體實施例。
圖10顯示偵測電漿密閉之喪失的診斷方法之一具體實施例。
圖11顯示佈置在一電漿處理室之一上電極中的一PIF探針之一具體實施例。
圖12顯示佈置在一電漿處理室之一上電極中的一PIF探針之一替代性具體實施例。
圖13顯示佈置在一電漿處理室之一上電極中的一PIF探 針之額外替代性具體實施例。
圖14顯示佈置在一電漿處理室之一上電極之一內部及外部部分中的PIF探針之一具體實施例。
圖15顯示一示範性電漿處理室。

Claims (19)

  1. 一種用於監視一電漿程序的方法,其包括下列步驟:啟動一電漿室內的一電漿程序;獲取關於該電漿程序的電漿參數資料,其中該電漿參數資料係藉由使用一單一平面離子通量(PIF)探針而獲取,其中在該探針中該探針之一感測表面係曝露於該電漿且係與該電漿室內的一壁或組件表面共平面;以及評估用於一錯誤情形之指示的該電漿參數資料。
  2. 如請求項1之方法,其中評估該電漿參數資料之該方法包括下列各項之任一組合:將該電漿參數資料儲存在一電腦可讀取儲存媒體系統中,對該電漿參數資料進行過濾,使該電漿參數資料經受一演算法,使該電漿參數資料經受一數學運算;及將該電漿參數資料與現有參考資料比較,此舉產生一解釋;以及根據該解釋發佈一狀況報告及/或警告。
  3. 如請求項1之方法,其中獲取該電漿參數資料而不實質上擾動該電漿。
  4. 如請求項1之方法,其中該探針係固定在延伸至一射頻偏壓表面中或在一研磨表面中的一凹入部分中。
  5. 如請求項1之方法,其中該電漿參數資料係藉由將包含至少一射頻(RF)脈衝之一RF叢發至該PIF探針。
  6. 如請求項1之方法,其中(a)該電漿參數資料係在處理一 晶圓之該過程期間收集為一系列測量,(b)該電漿參數資料包括後RF斜坡電漿密度資料及/或(c)該電漿參數資料包括該電漿室之一RF匹配系統之一隨機調諧。
  7. 如請求項6之方法,其中以曲線圖的形式繪製與時間成函數關係的一電漿參數之該系列測量。
  8. 如請求項6之方法,其中該錯誤情形係該處理室中的程序副產品之一集結或一氦洩漏。
  9. 如請求項6之方法,其中(a)該後RF斜坡電漿密度資料之該斜率中的一變化係用於指示該電漿室錯誤情形係該處理室中的程序副產品之一集結,(b)該電漿密度之約5%與約20%的該絕對值之間的一RF斜坡之後、該電漿密度之一振盪係用於指示該電漿室錯誤情形係一氦洩漏及/或(c)出現在該RF斜坡後、幅度小於該電漿密度之5%的該絕對值且持續時間少於5秒之該電漿密度之一振盪係用於指示該電漿室錯誤情形係該匹配系統之一隨機重新調諧。
  10. 如請求項1之方法,其中該電漿參數資料係用於指示該電漿室錯誤情形係電漿密度振幅之一不穩定速率之出現。
  11. 如請求項6之方法,其中在匹配調諧之後該電漿密度之該幅度方面的穩定花費約1/2秒以上,然後返回至其適當平衡點,從而指示該電漿室錯誤情形係電漿密度振幅之一不穩定速率之出現。
  12. 如請求項1之方法,其中該電漿參數資料係用於指示該 電漿室錯誤情形係電漿密閉之一喪失之出現。
  13. 如請求項1之方法,其中該電漿參數資料係用於指示該電漿室錯誤情形係在該同一類型的晶圓上運行該同一程序配方的一電漿室群組中的電漿室匹配之缺乏的出現。
  14. 如請求項2之方法,其中(a)該狀況報告及/或警告在該系統中啟動一校正性步驟,(b)該狀況報告及/或警告將該等解釋記錄在包含晶圓歷史的一日誌中及/或(c)該狀況報告及/或警告停止該電漿室中的一晶圓之處理。
  15. 如請求項1之方法,其中藉由使用複數個探針獲取該電漿參數資料。
  16. 如請求項15之方法,其中佈置該複數個探針以便該探針之每一個的一感測表面係曝露於該電漿,且係實質上與該電漿室內的一壁或組件表面共面。
  17. 一種適用於執行用於監視一電漿程序之一方法的裝置,該方法包含下列步驟:啟動一電漿室內的一電漿程序;獲取關於該電漿程序的電漿參數資料,其中該電漿參數資料係藉由使用一探針而獲取,其中在該探針中該探針之一感測表面係曝露於該電漿且係與該電漿室內的一壁或組件表面共平面;以及評估用於一錯誤情形之指示的該電漿參數資料,其中(a)將該探針嵌入在該壁中或在一電漿處理室之下列組件之任一者中:一蓮蓬頭電極、一襯裏、一密閉環、一聚焦環、沒有氣體出口的一電極、一氣體分佈板及一基板支撐物,(b)藉由使用一溫度控制組件對該探針進行加熱,(c)該探針能夠補償該探針之一 感測表面與其中嵌入該探針的一電漿室組件之一鄰近及周圍表面之間的差動磨耗率及/或(d)將該探針嵌入在一電容耦合電漿處理室、一感應耦合電漿處理室及一電子迴旋共振電漿處理室之任一者中。
  18. 如請求項17之裝置,其中該探針係一平面離子通量(PIF)探針,並將該PIF探針嵌入在一多件蓮蓬頭電極裝配件之該內部或外部電極部分中。
  19. 如請求項17之裝置,其中將該探針定位在一蓮蓬頭電極中並且該探針包括一或多個氣體饋送孔,其建立從該感測表面至該探針之該背面的一氣體通路,並且(a)將該等氣體饋送孔固定成接近於在缺少該探針情況下存在的該總氣體分佈圖案或(b)將該等氣體饋送孔固定在該探針中,其中在缺少該探針的情況下將該等氣體饋送孔另外定位在該蓮蓬頭電極中。
TW096120577A 2006-06-07 2007-06-07 偵測電漿處理反應器之錯誤情形之方法及裝置 TWI450643B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/447,946 US7829468B2 (en) 2006-06-07 2006-06-07 Method and apparatus to detect fault conditions of plasma processing reactor

Publications (2)

Publication Number Publication Date
TW200806091A TW200806091A (en) 2008-01-16
TWI450643B true TWI450643B (zh) 2014-08-21

Family

ID=38820783

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096120577A TWI450643B (zh) 2006-06-07 2007-06-07 偵測電漿處理反應器之錯誤情形之方法及裝置

Country Status (6)

Country Link
US (2) US7829468B2 (zh)
JP (2) JP5164978B2 (zh)
KR (1) KR101355741B1 (zh)
CN (1) CN101595238B (zh)
TW (1) TWI450643B (zh)
WO (1) WO2007145801A2 (zh)

Families Citing this family (99)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7479207B2 (en) * 2006-03-15 2009-01-20 Lam Research Corporation Adjustable height PIF probe
US8171877B2 (en) * 2007-03-14 2012-05-08 Lam Research Corporation Backside mounted electrode carriers and assemblies incorporating the same
KR100920417B1 (ko) * 2007-08-01 2009-10-14 주식회사 에이디피엔지니어링 센싱유닛 및 이를 가지는 기판처리장치
US8043470B2 (en) * 2007-11-21 2011-10-25 Lam Research Corporation Electrode/probe assemblies and plasma processing chambers incorporating the same
US8022718B2 (en) * 2008-02-29 2011-09-20 Lam Research Corporation Method for inspecting electrostatic chucks with Kelvin probe analysis
US20090249128A1 (en) * 2008-03-30 2009-10-01 Heckman Randy L Predictive diagnostics system, apparatus, and method for improved reliability
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
US8075701B2 (en) * 2008-06-30 2011-12-13 Lam Research Corporation Processes for reconditioning multi-component electrodes
US8276604B2 (en) * 2008-06-30 2012-10-02 Lam Research Corporation Peripherally engaging electrode carriers and assemblies incorporating the same
WO2010005933A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
KR101588482B1 (ko) * 2008-07-07 2016-01-25 램 리써치 코포레이션 플라즈마 처리 챔버에 사용하기 위한 진공 갭을 포함하는 플라즈마 대향 프로브 장치
WO2010005930A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Capacitively-coupled electrostatic (cce) probe arrangement for detecting strike step in a plasma processing chamber and methods thereof
WO2010005931A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Capacitively-coupled electrostatic (cce) probe arrangement for detecting dechucking in a plasma processing chamber and methods thereof
JP5643198B2 (ja) * 2008-07-07 2014-12-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内の膜を特徴付けるためのrfバイアス容量結合静電(rfb−cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
JP5734184B2 (ja) 2008-07-07 2015-06-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内のその場(in−situ)アーク放電事象を検出するための構成、及び、アーク放電事象を検出する方法
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
JP4672073B2 (ja) * 2008-08-22 2011-04-20 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理装置の運用方法
CN102246278B (zh) * 2008-12-10 2014-01-01 朗姆研究公司 用于促进硅电极抛光的盘和适配器组件
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
KR101741272B1 (ko) * 2009-06-30 2017-05-29 램 리써치 코포레이션 플라즈마 프로세싱 툴을 위한 인-시츄 프로세스 모니터링 및 제어를 위한 방법 및 장치
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8295966B2 (en) * 2009-06-30 2012-10-23 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
US8538572B2 (en) 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
US8618807B2 (en) 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8983631B2 (en) 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8650002B2 (en) * 2009-06-30 2014-02-11 Lam Research Corporation Determining plasma processing system readiness without generating plasma
US8419959B2 (en) 2009-09-18 2013-04-16 Lam Research Corporation Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
CZ304249B6 (cs) * 2009-11-18 2014-01-29 Fyzikální ústav AV ČR, v.v.i. Způsob synchronizace měření pro sondovou diagnostiku plazmatu a měřicí systém k provádění tohoto způsobu
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
KR101108541B1 (ko) * 2010-04-23 2012-01-30 (주)에이티엔에스 표피효과를 이용하여 플라즈마 공정 챔버의 세정주기를 결정하는 장치
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8444456B2 (en) 2010-11-02 2013-05-21 Lam Research Corporation Electrode securing platens and electrode polishing assemblies incorporating the same
US9134186B2 (en) * 2011-02-03 2015-09-15 Kla-Tencor Corporation Process condition measuring device (PCMD) and method for measuring process conditions in a workpiece processing tool configured to process production workpieces
US20120283973A1 (en) * 2011-05-05 2012-11-08 Imec Plasma probe and method for plasma diagnostics
US9245719B2 (en) 2011-07-20 2016-01-26 Lam Research Corporation Dual phase cleaning chambers and assemblies comprising the same
US20130071581A1 (en) * 2011-09-20 2013-03-21 Jonghoon Baek Plasma monitoring and minimizing stray capacitance
US8854451B2 (en) 2011-10-19 2014-10-07 Lam Research Corporation Automated bubble detection apparatus and method
US9396912B2 (en) 2011-10-31 2016-07-19 Lam Research Corporation Methods for mixed acid cleaning of showerhead electrodes
CN102573257A (zh) * 2012-01-11 2012-07-11 西安电子科技大学 大面积均匀等离子体电子密度控制系统
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9404183B2 (en) * 2012-06-08 2016-08-02 Novellus Systems, Inc. Diagnostic and control systems and methods for substrate processing systems using DC self-bias voltage
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
CN107978506B (zh) * 2012-08-28 2021-07-09 先进工程解决方案全球控股私人有限公司 控制开关模式离子能量分布系统的方法
US9017513B2 (en) * 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US9620337B2 (en) * 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
KR102299397B1 (ko) * 2013-08-14 2021-09-06 어플라이드 머티어리얼스, 인코포레이티드 챔버 데이터를 이용한 유리 파손과 아킹의 검출
DE102013110722A1 (de) * 2013-09-27 2015-04-02 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Plasma-ionengestütztes Beschichtungsverfahren und Plasmasonde
US20150147830A1 (en) * 2013-11-26 2015-05-28 Applied Materials, Inc. Detection of substrate defects by tracking processing parameters
CN104730372B (zh) * 2013-12-13 2018-08-10 朗姆研究公司 基于rf阻抗模型的故障检测
CN103834927B (zh) * 2014-03-17 2016-08-17 上海华虹宏力半导体制造有限公司 判断磁铁性能的方法
US10950421B2 (en) * 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
CN105097603B (zh) * 2014-04-30 2019-03-12 北京北方华创微电子装备有限公司 工艺腔室检测装置及检测工艺腔室中工艺环境的方法
KR102275077B1 (ko) * 2014-05-30 2021-07-12 세메스 주식회사 기판 처리 장치 및 방법
KR102223623B1 (ko) 2014-07-30 2021-03-08 삼성전자주식회사 반도체 제조설비의 관리방법 및 그의 관리시스템
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US9851389B2 (en) * 2014-10-21 2017-12-26 Lam Research Corporation Identifying components associated with a fault in a plasma system
US10043690B2 (en) * 2015-03-31 2018-08-07 Lam Research Corporation Fault detection using showerhead voltage variation
KR102459432B1 (ko) 2015-06-16 2022-10-27 삼성전자주식회사 기판 제조 설비 및 그의 관리 방법
KR20180093966A (ko) * 2015-12-10 2018-08-22 아이오니어 엘엘씨 프로세스 동작의 파라미터들을 결정하기 위한 장치 및 방법
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10032681B2 (en) 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US10197908B2 (en) 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10283320B2 (en) * 2016-11-11 2019-05-07 Applied Materials, Inc. Processing chamber hardware fault detection using spectral radio frequency analysis
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
JP6890459B2 (ja) * 2017-04-14 2021-06-18 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
KR20190137927A (ko) * 2017-05-02 2019-12-11 도쿄엘렉트론가부시키가이샤 플라즈마 프로세스 후에 표면 입자 불순물들을 감소시키기 위한 제조 방법
JP6676020B2 (ja) * 2017-09-20 2020-04-08 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理装置状態予測方法
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
KR20200100641A (ko) 2017-11-17 2020-08-26 에이이에스 글로벌 홀딩스 피티이 리미티드 플라즈마 프로세싱 소스 및 기판 바이어스의 동기화된 펄싱
WO2019099925A1 (en) 2017-11-17 2019-05-23 Advanced Energy Industries, Inc. Spatial and temporal control of ion bias voltage for plasma processing
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
US11921433B2 (en) 2018-04-10 2024-03-05 Lam Research Corporation Optical metrology in machine learning to characterize features
US11624981B2 (en) 2018-04-10 2023-04-11 Lam Research Corporation Resist and etch modeling
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
TWI776015B (zh) 2019-01-30 2022-09-01 晶喬科技股份有限公司 半導體元件的製程開發方法以及系統
JP2020177785A (ja) * 2019-04-17 2020-10-29 日本電産株式会社 プラズマ処理装置
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
US11521832B2 (en) * 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US12046448B2 (en) 2022-01-26 2024-07-23 Advanced Energy Industries, Inc. Active switch on time control for bias supply
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
WO2024005035A1 (ja) * 2022-06-30 2024-01-04 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
WO2024005004A1 (ja) * 2022-06-30 2024-01-04 東京エレクトロン株式会社 調整方法及びプラズマ処理装置
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5936413A (en) * 1995-09-19 1999-08-10 Centre National De La Recherche Scientifique Method and device for measuring an ion flow in a plasma
US6541982B2 (en) * 2000-01-17 2003-04-01 Canon Kabushiki Kaisha Plasma density measuring method and apparatus, and plasma processing system using the same
TW200505293A (en) * 2003-04-24 2005-02-01 Tokyo Electron Ltd Plasma monitoring method, plasma monitor and plasma treatment apparatus
TW200506388A (en) * 2003-08-14 2005-02-16 Advanced Energy Ind Inc Sensor array for measuring plasma characteristics in plasma processing environments

Family Cites Families (42)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3624240A (en) * 1970-03-24 1971-11-30 Atomic Energy Commission Feedback stabilization of a magnetically confined plasma
US4006404A (en) * 1976-01-30 1977-02-01 The United States Of America As Represented By The Secretary Of The Navy Pulsed plasma probe
JPH05136098A (ja) * 1991-11-15 1993-06-01 Seiko Epson Corp 半導体装置の製造装置及び半導体装置の製造方法
DE4445762A1 (de) * 1994-12-21 1996-06-27 Adolf Slaby Inst Forschungsges Verfahren und Vorrichtung zum Bestimmen absoluter Plasmaparameter
JP3208044B2 (ja) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
JPH09232289A (ja) * 1996-02-28 1997-09-05 Nec Kyushu Ltd ドライエッチング装置
US6090304A (en) * 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
EP0932194A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
US6383402B1 (en) * 1998-04-23 2002-05-07 Sandia Corporation Method and apparatus for monitoring plasma processing operations
JP3497091B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ生成用高周波パワーの制御方法、およびプラズマ発生装置
US6326794B1 (en) * 1999-01-14 2001-12-04 International Business Machines Corporation Method and apparatus for in-situ monitoring of ion energy distribution for endpoint detection via capacitance measurement
US6368975B1 (en) * 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6646386B1 (en) * 1999-07-20 2003-11-11 Tokyo Electron Limited Stabilized oscillator circuit for plasma density measurement
US6741944B1 (en) * 1999-07-20 2004-05-25 Tokyo Electron Limited Electron density measurement and plasma process control system using a microwave oscillator locked to an open resonator containing the plasma
US6553332B2 (en) * 1999-12-22 2003-04-22 Texas Instruments Incorporated Method for evaluating process chambers used for semiconductor manufacturing
US6653852B1 (en) * 2000-03-31 2003-11-25 Lam Research Corporation Wafer integrated plasma probe assembly array
US6441620B1 (en) * 2000-06-20 2002-08-27 John Scanlan Method for fault identification in a plasma process
US6383554B1 (en) * 2000-09-05 2002-05-07 National Science Council Process for fabricating plasma with feedback control on plasma density
US6391787B1 (en) * 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3670206B2 (ja) * 2000-11-06 2005-07-13 アルプス電気株式会社 プラズマ処理装置又はプラズマ処理システムの性能評価方法、保守方法、性能管理システム、及び性能確認システム、並びにプラズマ処理装置
US6744212B2 (en) * 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
JP4128383B2 (ja) * 2002-03-27 2008-07-30 東京エレクトロン株式会社 処理装置及び処理方法
JP4694843B2 (ja) * 2002-09-30 2011-06-08 東京エレクトロン株式会社 半導体製作プロセスの監視とコンロトールのための装置
US20040127031A1 (en) * 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004349419A (ja) * 2003-05-21 2004-12-09 Tokyo Electron Ltd プラズマ処理装置の異常原因判定方法及び異常原因判定装置
US7062411B2 (en) * 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
US7169625B2 (en) * 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
JP2005129666A (ja) * 2003-10-22 2005-05-19 Canon Inc 処理方法及び装置
US7127358B2 (en) * 2004-03-30 2006-10-24 Tokyo Electron Limited Method and system for run-to-run control
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20060108069A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7578301B2 (en) * 2005-03-28 2009-08-25 Lam Research Corporation Methods and apparatus for determining the endpoint of a cleaning or conditioning process in a plasma processing system
US7319316B2 (en) * 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
US20070074812A1 (en) * 2005-09-30 2007-04-05 Andrej Mitrovic Temperature control of plasma density probe
US7479207B2 (en) * 2006-03-15 2009-01-20 Lam Research Corporation Adjustable height PIF probe
US7413672B1 (en) * 2006-04-04 2008-08-19 Lam Research Corporation Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
JP5734184B2 (ja) * 2008-07-07 2015-06-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内のその場(in−situ)アーク放電事象を検出するための構成、及び、アーク放電事象を検出する方法
WO2010005931A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Capacitively-coupled electrostatic (cce) probe arrangement for detecting dechucking in a plasma processing chamber and methods thereof
WO2010005933A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
WO2010005930A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Capacitively-coupled electrostatic (cce) probe arrangement for detecting strike step in a plasma processing chamber and methods thereof
JP5643198B2 (ja) * 2008-07-07 2014-12-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内の膜を特徴付けるためのrfバイアス容量結合静電(rfb−cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体

Patent Citations (4)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5936413A (en) * 1995-09-19 1999-08-10 Centre National De La Recherche Scientifique Method and device for measuring an ion flow in a plasma
US6541982B2 (en) * 2000-01-17 2003-04-01 Canon Kabushiki Kaisha Plasma density measuring method and apparatus, and plasma processing system using the same
TW200505293A (en) * 2003-04-24 2005-02-01 Tokyo Electron Ltd Plasma monitoring method, plasma monitor and plasma treatment apparatus
TW200506388A (en) * 2003-08-14 2005-02-16 Advanced Energy Ind Inc Sensor array for measuring plasma characteristics in plasma processing environments

Also Published As

Publication number Publication date
US7829468B2 (en) 2010-11-09
KR101355741B1 (ko) 2014-01-27
US20070284246A1 (en) 2007-12-13
KR20090028622A (ko) 2009-03-18
JP5164978B2 (ja) 2013-03-21
US20110022215A1 (en) 2011-01-27
TW200806091A (en) 2008-01-16
WO2007145801A2 (en) 2007-12-21
WO2007145801A3 (en) 2009-04-02
JP2012138366A (ja) 2012-07-19
CN101595238B (zh) 2012-11-28
JP5567613B2 (ja) 2014-08-06
CN101595238A (zh) 2009-12-02
JP2009540569A (ja) 2009-11-19

Similar Documents

Publication Publication Date Title
TWI450643B (zh) 偵測電漿處理反應器之錯誤情形之方法及裝置
US9875883B2 (en) Metrology methods to detect plasma in wafer cavity and use of the metrology for station-to-station and tool-to-tool matching
JP4607517B2 (ja) プラズマ処理装置
US10332760B2 (en) Method for controlling plasma processing apparatus
KR101711685B1 (ko) 플라즈마 아크 검출, 절연 및 방지를 위한 시스템 및 방법
JP3689732B2 (ja) プラズマ処理装置の監視装置
JP5661113B2 (ja) ウエハバイアス電位を測定するための方法および装置
JP5246836B2 (ja) プラズマ処理装置用のプロセス性能検査方法及び装置
JP4508423B2 (ja) プラズマ処理システムにおいてエッチング終点を決定するための方法および装置
US6326794B1 (en) Method and apparatus for in-situ monitoring of ion energy distribution for endpoint detection via capacitance measurement
KR100819296B1 (ko) 기판 처리 장치, 퇴적물 모니터링 장치 및 퇴적물 모니터링방법
JP2011014579A (ja) プラズマ処理装置及びプラズマ処理方法
JP3959318B2 (ja) プラズマリーク監視方法,プラズマ処理装置,プラズマ処理方法,およびコンピュータプログラム
Yasaka et al. Micro arc monitoring by detecting charge build-up on glass surface of viewing port due to plasma dispersion in plasma processing equipment
WO2024076357A1 (en) In-situ electric field detection method and apparatus
JP2003332319A (ja) プラズマ処理装置及び処理方法
JP2000208479A (ja) プラズマ処理評価方法及びその装置並びに半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees