KR20090028622A - 플라즈마 프로세싱 반응기의 오류 상태를 검출하기 위한 방법 및 장치 - Google Patents

플라즈마 프로세싱 반응기의 오류 상태를 검출하기 위한 방법 및 장치 Download PDF

Info

Publication number
KR20090028622A
KR20090028622A KR1020097000325A KR20097000325A KR20090028622A KR 20090028622 A KR20090028622 A KR 20090028622A KR 1020097000325 A KR1020097000325 A KR 1020097000325A KR 20097000325 A KR20097000325 A KR 20097000325A KR 20090028622 A KR20090028622 A KR 20090028622A
Authority
KR
South Korea
Prior art keywords
plasma
probe
chamber
parameter data
data
Prior art date
Application number
KR1020097000325A
Other languages
English (en)
Other versions
KR101355741B1 (ko
Inventor
더글라스 케일
에릭 허드슨
크리스 킴볼
안드레아스 피셔
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20090028622A publication Critical patent/KR20090028622A/ko
Application granted granted Critical
Publication of KR101355741B1 publication Critical patent/KR101355741B1/ko

Links

Images

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/52Controlling or regulating the coating process
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L22/00Testing or measuring during manufacture or treatment; Reliability measurements, i.e. testing of parts without further processing to modify the parts as such; Structural arrangements therefor
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C14/00Coating by vacuum evaporation, by sputtering or by ion implantation of the coating forming material
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/50Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges
    • C23C16/505Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges
    • C23C16/509Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating using electric discharges using radio frequency discharges using internal electrodes
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32935Monitoring and controlling tubes by information coming from the object and/or discharge
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof

Landscapes

  • Chemical & Material Sciences (AREA)
  • Engineering & Computer Science (AREA)
  • Physics & Mathematics (AREA)
  • Plasma & Fusion (AREA)
  • Metallurgy (AREA)
  • Organic Chemistry (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • Materials Engineering (AREA)
  • Mechanical Engineering (AREA)
  • Analytical Chemistry (AREA)
  • General Chemical & Material Sciences (AREA)
  • Manufacturing & Machinery (AREA)
  • Computer Hardware Design (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Power Engineering (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 프로세싱 챔버에서 이용하기 위한 오류 검출 방법이 제공된다. 본 방법은 플라즈마 챔버 내의 플라즈마 파라미터들을 모니터링하는 단계와 그 결과적인 정보를 분석하는 단계를 포함한다. 이러한 분석은 웨이퍼 프로세싱의 코스 동안 실패들의 검출 및 실패 모드들의 진단을 가능하게 한다. 본 방법은 플라즈마 파라미터들을 시간의 함수로서 측정하는 단계 및 그 결과적인 데이터를 분석하는 단계를 포함한다. 데이터는 관찰되고, 특성화되고, 참조 데이터와 비교되며, 디지털화되고, 프로세싱되고, 또는 특정 오류를 밝혀내기 위해 임의의 방식으로 분석될 수 있다. 모니터링은 프로브와 같은 검출기를 이용하여 행해질 수 있고, 이 프로브는 바람직하게는 플라즈마 챔버 내에서 그 챔버 내의 표면과 실질적으로 공면이도록 유지되며, 직접 넷트 이온 플럭스 및 다른 플라즈마 파라미터들을 측정한다. 검출기는 바람직하게는 접지된 샤워헤드 전극과 같은 반응기 내의 접지된 면에 위치되고, 평면 이온 플럭스 (PIF) 타입의 것이거나 비용량성 타입의 것일 수 있다. 검출될 수 있는 챔버 오류들로는, 프로세스 챔버에서의 프로세스 부산물들의 축적, 헬륨 누설, 정합 재조정 이벤트, 열악한 안정화 레이트, 및 플라즈마 한정의 손실을 포함한다. 검출기가 프로브인 경우, 프로브는 플라즈마 프로세싱 챔버의 부품 내에 내장될 수 있으며, 하나 이상의 가스 공급-스루 홀들을 포함할 수 있다.
플라즈마 프로세싱 챔버, 오류 검출, 플라즈마 파라미터 데이터, 프로브

Description

플라즈마 프로세싱 반응기의 오류 상태를 검출하기 위한 방법 및 장치{METHOD AND APPARATUS TO DETECT FAULT CONDITIONS OF A PLASMA PROCESSING REACTOR}
배경
각각의 연속적인 반도체 기술 세대와 함께, 웨이퍼 직경은 증가하고 트랜지스터 사이즈는 감소하는 경향을 보였고, 이는 웨이퍼 프로세스에 있어서 더욱더 높은 정확도와 반복가능성을 요구하는 결과를 초래하였다. 실리콘 웨이퍼와 같은 반도체 기판 재료는 진공 챔버의 이용을 포함하는 기술에 의해 프로세싱된다. 이들 기술은 스퍼터 증착과 같은 비플라즈마 애플리케이션 및 플라즈마 화학 기상 증착 (PECVD), 레지스트 박리, 및 플라즈마 에치와 같은 플라즈마 애플리케이션을 포함한다.
오늘날 이용가능한 플라즈마 프로세싱 시스템은 수많은 특정 모드들의 오류가 일어나기 쉽다. 많은 이러한 모드들은 실제 디바이스 웨이퍼를 프로세싱하기 이전에 검출하기 어렵고, 따라서, 웨이퍼의 잘못된 프로세싱을 초래할 수 있다. 이온 밀도 또는 전기장과 같은 플라즈마의 소정의 양태들을 진단하기 위한 검출 시스템들이 존재하지만, 오류들의 검출 (detection of faults) 에 불충분한 방법들이 이용가능하다. 무엇보다도, 이는 향상된 균일성, 일관성, 및 자기 진단을 갖는 플라즈마 챔버를 요구한다. 이들 목표의 달성을 용이하게 하는 향상된 플 라즈마 센싱 기술에 대한 수요가 매우 크다.
요약
선호되는 실시형태에 따르면, 플라즈마 챔버 내의 플라즈마 프로세스를 개시하는 단계, 플라즈마 프로세스에 대한 플라즈마 파라미터 데이터를 획득하는 단계, 및 오류 상태 (fault condition) 의 표시들을 위해 플라즈마 파라미터 데이터를 평가하는 단계를 포함하며, 플라즈마 파라미터 데이터는 프로브의 사용에 의해 획득되며, 프로브의 센싱 표면은 플라즈마에 대해 노출되고, 플라즈마 챔버 내의 벽 또는 컴포넌트 표면과 동일 평면적 (coextensive) 인, 플라즈마 프로세스의 직접 모니터링을 위한 방법이 제공된다.
플라즈마 파라미터 데이터를 평가하는 방법은, 데이터를 컴퓨터 판독가능 저장 매체 시스템 상에 저장하는 단계, 데이터를 필터링하는 단계, 데이터에 대해 알고리즘을 적용하는 단계, 및/또는 데이터를 현존하는 참조 데이터와 비교하는 단계를 포함할 수 있으며, 상기 데이터를 현존하는 참조 데이터와 비교하는 단계에 의해 해석, 및 그 해석에 기초한 상태 리포트 및/또는 경보의 발행 (issuance) 을 초래한다.
이온 플럭스, 플라즈마 전위, 플라즈마 밀도, 전자 온도, 이온 종들 온도 및 전자-중립자 충돌 빈도와 같은 플라즈마 파라미터들이 다양한 직접 프로빙 (probing) 방법들을 이용하여 측정될 수 있다. 이러한 방법들은 프로브의 사용을 포함하며, 이 프로브의 센싱 표면은 플라즈마에 노출되고, 플라즈마 챔버 내의 벽 또는 컴포넌트 표면과 실질적으로 공면이다. 예시적인 컴포넌트들로는, 접 지된 샤워헤드 전극, 한정 링, 라이너, 가스 분배판 및 기판 지지대를 포함한다.
직접 센서의 선호되는 실시형태는 평면 이온 플럭스 (Planar Ion Flux; PIF) 타입이다. 다른 실시형태들에서, 직접 센서는 비용량성 커플링된 랭뮤어 (Langmuir) 타입의 것일 수 있고, 이 랭뮤어 타입에서는, 변화하는 전압이 커플링 캐패시터의 사용 없이 DC 전원에 의해 프로브 표면에 직접 인가되고, 전류가 측정된다. 또 다른 실시형태들에서 검출 시스템들이 이용된다. 이러한 검출 시스템들의 타입들은, 유전체 플라즈마 경계부에서 표면파를 생성시키고, 그 표면파에 기초하여 플라즈마 밀도를 측정하는 시스템을 포함한다.
오류 상태들의 표시들로는:
(a) 프로세스 챔버에서의 프로세스 부산물들의 축적 (build-up) 을 나타내기 위한, 플라즈마 밀도 데이터의 포스트-RF 램프 (post-RF ramp) 의 기울기에서의 변화;
(b) 헬륨 누설을 나타내기 위한, 플라즈마 밀도의 절대값의 약 5% 와 약 20% 사이의, RF 램프 후의 플라즈마 밀도의 진동;
(c) 정합 (matching) 시스템 재조정 이벤트 (re-tuning event) 를 나타내기 위한, RF 램프 후에 일어나는, 플라즈마 밀도의 절대값의 5% 미만의 진폭의, 그리고 5 초 미만의 지속기간 동안의 플라즈마 밀도의 진동;
(d) 열악한 안정화 레이트의 발생을 나타내기 위한, 정합 조정 후의 플라즈마 밀도의 진폭에서의 안정이 플라즈마 밀도의 진폭에서의 적절한 평형점으로 복귀하기 전에 약 1/2 초보다 더 소요되는 것;
(e) 플라즈마 한정 (confinement) 의 손실 (loss) 의 발생을 나타내기 위한, 플라즈마 밀도의 절대값의 약 15% 보다 더 큰 양만큼 플라즈마 밀도에서의 갑작스런 드롭, 그에 이은 부분적 회복을 포함한다.
다른 선호되는 실시형태들에서, 검출기가 플라즈마 프로세싱 챔버 내의 표면에 내장되는 곳에서, 검출기는 둘러싸는 벽 표면과의 호환성을 강화하기 위한 특징들을 포함할 수도 있다. 예를 들어, 검출기는 국부적인 벽 상태들과 정합하기 위해 온도 제어될 수도 있다. 벽이 가스 공급 스루 홀들 (gas feed through holes) 을 포함하는 경우, 검출기는 또한 하나 이상의 가스 공급 스루 홀들을 갖도록 구축될 수도 있다. 이는 프로브의 센싱 표면으로부터 프로브의 후면까지 관통하며, 검출기가 부재하였었다면 존재하였을 전체 가스 분포 패턴에 근사시키도록 위치되는 적어도 하나의 가스 통로를 형성함으로써 행해질 것이다.
도면의 간단한 설명
도 1 은 오류 평가 방식의 플로우차트를 나타낸다.
도 2 는 PIF 프로브의 일 예시적인 실시형태를 나타낸다.
도 3 은 PIF 프로브 및 대표적인 회로의 일 예시적인 개략도를 나타낸다.
도 4 는 노드 A 에서의 일 예시적인 RF 신호를 나타낸다.
도 5 는 노드 B 에서의 응답 신호를 나타낸다.
도 6 은 챔버 세정에 대한 필요를 검출하는 진단 방법의 일 실시형태를 나타낸다.
도 7 은 부적절하게 설치된 전극 어셈블리 볼트 (bolt) 들로 인한 헬륨 "라 이트-업 (light-up)" 을 검출하는 진단 방법의 일 실시형태를 나타낸다.
도 8 은 정합 "재조정" 이벤트를 검출하는 진단 방법의 일 실시형태를 나타낸다.
도 9 는 정합/플라즈마 안정화 레이트를 검출하는 진단 방법의 일 실시형태를 나타낸다.
도 10 은 플라즈마 한정의 손실을 검출하는 진단 방법의 일 실시형태를 나타낸다.
도 11 은 플라즈마 프로세싱 챔버의 상부 전극에 배치된 PIF 프로브의 일 실시형태를 나타낸다.
도 12 는 플라즈마 프로세싱 챔버의 상부 전극에 배치된 PIF 프로브의 대안적인 실시형태를 나타낸다.
도 13 은 플라즈마 프로세싱 챔버의 상부 전극에 배치된 PIF 프로브의 추가적인 대안적인 실시형태를 나타낸다.
도 14 는 플라즈마 프로세싱 챔버의 상부 전극의 내측부 및 외측부에 배치된 PIF 프로브들의 일 실시형태를 나타낸다.
도 15 는 일 예시적인 플라즈마 프로세스 챔버를 나타낸다.
상세한 설명
실리콘 웨이퍼 같은 반도체 기판 재료는 증착, 에치, 및 레지스트 박리를 포함하는 다양한 플라즈마 프로세스들을 이용하여 플라즈마 프로세싱 챔버 내에서 프로세싱될 수 있다. 플라즈마 프로세싱 시스템은 플라즈마 프로세싱 챔버, 적어 도 하나의 프로세스 가스를 챔버 내로 공급하는 가스 소스, 및 프로세스 가스에 에너지를 가함으로써 플라즈마를 발생시키는 에너지 소스를 포함할 수 있다. 웨이퍼를 프로세싱하기 위한 소정의 시퀀스의 단계들 및 입력 파라미터 설정들 (예를 들어, RF 전력, 가스 플로우, 시작 시간 등) 은 소정의 "플라즈마 프로세스" 로서 지칭될 수 있다. 현대의 반도체 제조 장비의 복잡성 요구들로 인해, 통상적인 플라즈마 프로세싱 시스템들은 다양한 화학적, 전기적 및/또는 기계적 실패 (failure) 를 일으키기 쉽다. 이들 실패로는, 예를 들어, 불충분하게 깨끗한 프로세싱 환경, 진공 및 대기 제어 장비에서의 누설, 프로세스 반복가능성의 손실, 및 플라즈마 한정의 손실을 포함한다. 종종 이러한 실패들은 웨이퍼 또는 웨이퍼군들이 프로세싱될 때까지 검출되지 않는다.
이온 플럭스, 플라즈마 전위, 플라즈마 밀도, 전자 온도, 이온 종들 온도, 및 전자-중립자 충돌 빈도와 같은 소정의 플라즈마 파라미터들이 특정 오류들과 연관될 수 있다는 것이 판명되었다. 따라서, 이들 및 다른 플라즈마 파라미터들의 검출은 이들 오류들을 검출 및 식별하는 방법을 제공한다. 바람직한 실시형태에서, 플라즈마 파라미터 검출의 프로세스는 모니터링되고 있는 플라즈마의 검출가능한 섭동 없이 수행될 수 있다.
프로세싱 동안 플라즈마 파라미터들의 검출을 위한 방법들은 직접적으로 검출하는 방법들과 간접적으로 검출하는 방법들로 분류될 수 있다. 간접적인 방법들로는, 전자기적 방사 (예를 들어, 광학 주파수 방사 또는 라디오 주파수 방사) 와 같은, 플라즈마로부터 방출되는 신호들을 해석하는 것을 포함하고, 그 신호로부 터 플라즈마 파라미터들을 추출하기 위해 소정의 가정들을 필요로 할 수도 있으며, 측정의 신뢰성을 감소시킨다. 검출을 위한 직접적인 방법들로는, 검출기가 플라즈마에 직접 노출되도록 검출기를 위치시키는 것을 포함한다. 직접적인 방법들로부터의 결과들의 해석은 간접적인 방법들로부터의 결과들의 해석보다 더 적은 가정들, 더 간단한 해석 및 더 큰 신뢰성을 수반할 수 있다. 하지만, 물체를 모니터링되고 있는 플라즈마에 직접 노출되도록 삽입할 필요성은 플라즈마에 대한 섭동을 초래할 수도 있고, 통상적인 산업적인 프로세스 모니터링에서 덜 수용가능하다. 따라서, 직접 검출의 선호되는 신뢰성 및 간접 검출의 선호되는 비참견 (unobtrusiveness) 을 구비하는 방법을 갖는 것이 이로울 것이다. 몇몇 실시형태들에서, 선호되는 방법은 물체를 플라즈마 내로 삽입함이 없이 플라즈마에 대한 직접 노출을 포함한다.
선호되는 실시형태에 따르면, 플라즈마 에처와 같은 플라즈마 프로세싱 챔버에서 사용하기 위한 오류 검출의 방법은 플라즈마 챔버 벽 또는 컴포넌트 내에 탑재된 센서를 이용하여 직접 모니터링하는 것을 포함한다. 센서의 센싱 표면은 챔버 벽 또는 컴포넌트 표면의 전면에 자연적으로 존재하는 플라즈마 쉬스 (sheath) 를 통해 플라즈마에 대해 직접 노출된다. 플라즈마 (및 특히 플라즈마 쉬스) 에 대한 섭동은, 센싱 표면이 벽 또는 컴포넌트 표면과 동일 평면이도록 센싱 디바이스를 탑재한 면에 의해 바람직하게 감소된다.
바람직하게, 오류 검출 시스템은 플라즈마 파라미터 데이터를 수집하고 오류 상태의 표시를 위해 플라즈마 파라미터 데이터를 평가하도록 동작가능하다. 플 라즈마 파라미터 데이터는 특정 오류를 밝혀내기 위한 임의의 방식으로 관찰, 특성화, 공지의 오류들의 라이브러리 ("참조 데이터") 와의 비교, 디지털화, 프로세싱, 또는 해석될 수 있다. 선호되는 실시형태에서, 플라즈마 파라미터 데이터를 평가하는 방법은 컴퓨터-판독가능 저장 매체 상에 플라즈마 파라미터 데이터를 저장하는 것 및 해석 단계를 실행하는 것을 포함한다. 해석 단계는 플라즈마 파라미터 데이터를 필터링, 알고리즘 적용, 수학적 연산 수행, 및/또는 존재하는 참조 데이터와 비교, 그로 인한 해석의 발생에 의해 플라즈마 파라미터 데이터를 해석하는 것을 포함한다. 해석 단계는 그 해석을 포함하는 상태 리포트 및/또는 경고를 웨이퍼 트랙킹 시스템 또는 사용자에게 발행 (issue) 하는 것을 더 포함한다. 선택적으로, 상태 리포트 및/또는 경고는 시스템에서의 교정 단계를 개시하고, 웨이퍼 이력을 보유하는 로그 (log) 에 이벤트를 기록하며, 또는 플라즈마 챔버에서의 기판의 프로세싱을 중지시킨다. 일 예시적인 방법론이 도 1 의 플로우차트에 나타내어진다. 따라서 오류 검출을 위해 추출된 정보는 웨이퍼들의 순차적인 프로세싱을 위한 프로세스 설정들을 수정하기 위해 이용되지 않는다.
선호되는 실시형태는 플라즈마 파라미터를 측정하기 위해 프로브 또는 센서를 이용하는 것을 포함한다. 프로브는 플라즈마 챔버 벽 또는 컴포넌트 내에 배치되며, 프로브의 센싱 표면이 플라즈마에 노출되도록 반응기 내의 라디오 주파수 (RF) 바이어스된 표면 또는 접지된 표면 중 어느 하나에 위치될 수 있다. 오류 검출 시스템은 결과 데이터를 추가적으로 분석한다. 이러한 분석은 웨이퍼 프로세싱의 코스 동안 플라즈마 프로세싱 반응기에서의 실패 모드들의 실시간 진단을 가능하게 한다. 따라서, 오류 검출 시스템에 의해 이용된 방법은 플라즈마 챔버 내의 플라즈마 프로세스의 개시, 플라즈마로부터 시간의 함수로서 플라즈마 파라미터 데이터를 획득, 오류 상태의 표시들을 위해 플라즈마 파라미터 데이터를 평가하는 것을 포함한다.
오류들은 챔버 오류들 및 시스템 오류들로서 추가적으로 분류될 수 있다. 챔버 오류들은 더러운 챔버 또는 기계적 문제와 같은 플라즈마 챔버 내의 에러의 결과로서 발생하는 그러한 오류들인 반면, 시스템 오류들은 가스 공급 또는 전원 등과 관련된 문제로서 프로세스 챔버 외부에서 개시되는 에러로 인해 발생하는 그러한 오류들이다.
일 실시형태에서, 검출기는 평면 이온 플럭스 (PIF) 프로브이다. PIF 프로브는 펄스화된 RF 소스에 대해 용량성 커플링된 대면적 평면 랭뮤어 프로브이다. 프로브 센싱 표면은 프로세싱 동안 플라즈마 쉬스 (예를 들어, 플라즈마 쉬스는 플라즈마 상태에 따라 1mm 내지 5mm 의 범위일 수도 있다) 보다 더 큰 것이 바람직하다. RF 버스트 (burst) 의 적용 동안, 바이어스 전압이 커플링 캐패시터에 걸쳐 전개된다. RF 버스트가 종료될 때, 그 다음, 캐패시터는 수집 표면이 플라즈마로부터 이온들을 수집하도록 수집 표면을 바이어스하기에 충분한 전압을 제공한다. 바이어스가 "이온 포화 (ion saturation)" 로 알려진 상태를 달성하기에 충분한 경우, 방전 국면 (phase) 의 "이온 포화" 부분 동안 수집된 측정된 전류를 수집 표면의 면적으로 나누면 이온 플럭스의 측정치를 제공한다. 더욱이, 바이어스 캐패시터에 걸친 전압이 쇠퇴함에 따라, 수집된 전류 또한 쇠퇴할 것이 다. 전체 쇠퇴 국면 동안 전압 대 전류의 플롯 (plot) 은 다양한 플라즈마 파라미터들에 대한 값들을 획득하기 위해 분석될 수 있는 특성 트레이스 (characteristic trace) 를 가져온다.
도 2 는 선호되는 방법에 따라 이온 플로우를 측정하는 PIF 검출기의 일 양태를 개략적으로 나타낸다. 프로브 (20) 는 도전체 (22) 에 의해 단자 B 에 접속된 평판 디스크 (21) 로 이루어진다. 디스크 (21) 는 센싱 표면 (25) 을 가지며, 이 센싱 표면은 이온 플로우를 직접 수용하고 감지하며, 표면적 Sd 를 갖는다. 디스크는 에지 효과들을 감소시키기 위해 도전성 가드 링 (23) 으로 둘러싸이는 것이 바람직하다. 가드 링 (23) 은 평판 디스크 (21) 를 축 방향으로 아래에서부터 실질적으로 둘러싼다. 가드 링 (23) 은 센싱 표면 (25) 에 대해 공면인 (coplanar), 표면적 Sg 의 표면 (26) 을 갖는다. 표면 (26) 과 센싱 표면 (25) 의 공면성은, 가드 링의 상부면과 디스크의 상부면이 공면이도록 하는 높이를 갖는 수직 벽 (27) 에 의해 바람직하게 달성된다.
가드 링 (23) 은 디스크 (21) 및 수직 벽 (27) 에 대해 물리적인 지지를 제공하는 수평 베이스 (28) 를 포함하며, 디스크 (21) 가 수직 벽 (27) 에 의해 둘러싸이도록 충분히 큰 직경의 것이다. 가드 링 (23) 은 또한 도전체 (22) 및 절연체 (24) 를 포함하기에 충분히 큰 내경의 수직 원통형 공동 (hollow) 샤프트를 포함한다. 가드 링은 도 2 에 나타낸 바와 같은 식의 단일체의 피스 (piece) 를 포함할 수도 있으며, 또는, 전기적으로 접촉하는 2 이상의 분리된 피스들을 포 함할 수도 있다. 도전성 디스크의 수직 벽 (27) 및 수평 베이스 (28) 표면들 및 도전체 (22) 는 절연체 (24) 에 의해 가드 링 (23) 으로부터 완전하게 절연된다. 절연체 (24) 는 연속적이며, 바람직하게는 일정한 두께이며, 디스크와 가드 링 사이의 전기적 절연을 보장하기 위해 충분한 무결성 및 유전 상수의 것이다. 따라서, 가드 링 (23) 은 도전성 디스크 (21) 및 도전체 (22) 주변을 둘러싸며, 하지만, 어느 곳에서도 그 도전성 디스크 (21) 및 도전체 (22) 로부터 전기적으로 절연된다. PIF 프로브 및 분석의 방법의 일 예는 미국 특허 제 5,936,413 호에 개시되며, 그 전체 내용은 본원에 참조로서 통합된다.
본 실시형태에 따르면, 도 3 에 나타낸 바와 같이, 프로브 (20) 의 디스크 (21) 는 노드 B 에 접속된다. 노드 B 는 바람직하게는 오실로스코프 (34) 와 같은, 고임피던스 전압 판독 디바이스에 또한 접속된다. 고임피던스 판독 디바이스의 다른 측은 접지에 접속된다. 노드 A 와 노드 B 사이에는 Cm 값의 차단 캐패시터 (31) 가 접속된다. 가드 링 (23) 은 또한 바람직하게는 Cg 값의 캐패시터 (33) 에 의해 노드 A 에 전기적으로 접속된다. 노드 A 는 낮은 출력 임피던스 (통상적으로 50 옴) 를 갖는 라디오 주파수 (RF) 전압 소스 (32) 의 제 1 노드에 접속된다. RF 소스 (32) 의 제 2 노드는 반응기 벽들 (미도시) 에, 그리고, 기준 전위 (일반적으로 접지 (도시)) 에 접속된다. 가드 링의 전위가 프로브 전위에 가깝도록 유지하기 위해, Cg 는 바람직하게는 Cg/Cm = Sg/Sd 이도록 선택된다. 선택적인 저임피던스 전류 측정 디바이스 (35) 가 캐피시터 Cm 의 방전 경로에 바람직하게 유지되고, 방전 전류의 직접적인 측정이 가능하다.
플라즈마 파라미터의 측정을 위한 PIF 프로브의 이용은 때때로 부스 및 브레이스웨이트 방법 (Booth and Braithwaite Method) 으로 지칭된다. 이 방법에 따르면, 노드 A 는, 도 4 에 예시적으로 나타낸 바와 같이, 순차적인 일련의 RF 신호들로 펄스화된다. 펄스들의 평균은 제로 볼트이며, 진폭은 Vcc 로 주어지며, 지속기간은 T1, 펄스들 사이의 지연은 T2 로 주어진다. RF 발진 주파수는 바람직하게는 플라즈마 여기 주파수와 상이하다. 예를 들어, 플라즈마의 여기 주파수가 13.56MHz 라면, RF 발진 주파수은 12.0MHz 이도록 선택될 수도 있다.
도 5 에 나타낸 바와 같이, 노드 A 에서의 구동 펄스의 노드 B 에서의 응답은 동일한 진폭 Vcc, 동일한 주파수 및 동일한 평균 값 제로의 발진 신호이다. 소스 (32) 의 RF 펄스들의 효과, 및 플라즈마의 효과 아래, 프로브를 향한 전자들의 평균 플로우는 처음에는 양이온들의 평균 플로우를 초과하여, 캐패시터 (31) 의 충전을 야기한다. 노드 B 에서의 펄스들의 평균 전위는 Vbias 의 포화 음의 값에 도달할 때까지 점점 감소하며, 이 Vbias 의 포화 음의 값에서는 전자 및 이온 플럭스가 동일하며, 평균 전류는 제로이다.
그 다음, 펄스의 종단에서, RF 신호가 컷-오프 (cut-off) 될 때, 프로브를 향하는 전자들의 평균 플로우는 다시 한번 양이온들의 평균 플로우와 균형을 이루도록 복원된다. 하지만, Vbias 는 수집 표면으로 이온들은 끌어당기면서 전자들 의 플로우는 방해하도록 충분히 음인 전위이다. Vbias 가 충분히 높은 경우, 시스템은 "이온 포화" 로 알려진 상태로 될 것이다. 결과적인 넷트 (net) 이온 플로우는 캐패시터 (31) 를 방전시키기 시작한다. 이러한 상태에서, 노드 B 의 전위는 감소하고, 처음에는 시간에 대해 선형적이며, 수집 면적 당 넷트 이온 전류 (이온 플럭스) 의 결정이 이루어질 수 있다. 이는 오실로스코프 (34) 를 통해 dVB/dt 를 결정함으로써 행해질 수 있으며,
IB = CmdVB/dt
식에 따라 이온 전류에 비례한다. 그 다음, 이 IB 의 결정은 방전 전류의 측정으로서 기능한다.
다르게는, 캐패시터 Cm 의 방전 경로에 저임피던스 전류 측정 디바이스 (35) 를 도입할 수도 있다. 이러한 디바이스는 시간의 함수로서 방전 전류의 직접적인 측정을 제공하고, 전술한 결정의 확인으로서 이용될 수 있고, 또는, 전술한 결정의 대안으로서 이용될 수 있다. 방전 전류를 결정하기 위한 직접적인 측정 기술의 이용은 이온 포화 상태 동안 전류의 값을 측정하는 것으로 이루어진다.
추가적으로, 전압 데이터 또는 전류 데이터 중 어느 하나가 분석되어 전자 온도를 결정할 수 있다. Cm 에 걸친 전압이, 시스템이 이온 포화 상태 (51) 에 존재하는 포인트까지 기울어질 때, 방전 전류는, 수집 표면에 대한 넷트 전자 및 이온 플럭스들이 균형이 되는 상태로 시스템이 복귀하는 시간까지 지수적인 쇠퇴를 보일 것이다 (미도시). 이 지수적인 쇠퇴 동안, 방전 전류 (또는 Cm 에 걸친 전압) 의 분석이 수행될 수 있고, 이러한 분석은 플라즈마의 전자 온도의 측정치를 가져온다. 이러한 상태에서, 플라즈마 쉬스는 플로팅 전위 (floating potential) Vf 에 접근한다.
따라서, 단일 RF 펄스 및 컷-오프 시퀀스는 네트 이온 플럭스 및 다른 플라즈마 파라미터의 단일 측정을 수집하기 위해 충분하다. 이러한 측정은 수 밀리세컨트에서부터 더 긴 기간들까지의 범위의 광범위하게 변하는 기간에 걸쳐 이루어질 수 있다. 예를 들어, 통상적인 버스트 시간은 3ms 내지 5ms 일 수 있고, 통상적인 지연 시간은 5 ms 내지 10ms 일 수 있다. 반도체 기판의 프로세싱 코스 동안 일련의 이들 측정들의 수집은, 시간의 함수로서 소정의 플라즈마 파라미터의 그래프의 구축을 위해 정보를 제공할 수 있다.
소정의 펄스 및 컷-오프 시퀀스에 대해, 그 다음, PIF 프로브는 직접적으로 이온 플럭스를 측정한다. 플라즈마 밀도를 결정하기 위해, 다음과 같은 관계가 고려된다. 플라즈마 이론에 따르면, 양전기 플라즈마에서, 전류는 보옴 조건 (Bohm condition) 에 의해 조정된다. 지배하는 관계식은:
Ji = qnvB (1)
여기서, Ji 는 이온 전류 밀도 (즉, 이온 플럭스) 이고, q 는 기본 전하이며, n 은 플라즈마 또는 이온 밀도이며, vB 는 보옴 속도이고,
vB = (kTe/Mi)1/2 (2)
에 의해 주어진다. 여기서, Te 는 플라즈마에서의 전자 온도이고, Mi 는 이온 질량이다. 따라서, 플라즈마 밀도와 측정된 IB 사이의 관계식은
n = Ji/(qvB) = IB/(SdqvB) (3)
이다. 따라서, 이온 플럭스 데이터로부터 플라즈마 밀도를 결정하기 위해, Te 및 Mi 는 반드시 알려져야 한다. Mi 는 사용된 가스로부터 알려지며, Te 의 결정은 위에서 주어진 분석으로부터 이루어질 수 있고, 또는, Te 는 추정될 수 있다. 예를 들어, 4eV 의 추정이 이용될 수 있다.
또 다른 선호되는 실시형태에서, 검출기는 비용량성 타입이다. 비용량성 센서의 경우, 전압은 DC 전원에 의해 캐패시터의 커플링을 이용하지 않고 표면에 직접 인가된다. 그 다음, 전압은 변화되며, 인출 전류가 측정된다. 그 다음, 표준 랭뮤어 프로브 이론이 결과적인 인출 전류 대 전압 데이터를 분석하기 위해 이용될 수 있다. 랭뮤어 프로브 이론의 양태들로는, 플라즈마 쉬스의 I-V 특성, 즉, 쉬스에 걸친 전압 강하의 함수로서 플라즈마의 표면으로 흐르는 전류 밀도의 분석을 포함한다. 이러한 분석으로부터 추출될 수 있는 예시적인 플라즈마 파라미터들로는, 전자 온도, 전자 밀도, 및 플라즈마 전위를 포함한다. 소정의 환경들에서, 이온 밀도, 이온 온도, 및 전자 에너지 분포 함수가 역시 결정될 수 있다. 비용량성 타입의 센서의 선호되는 기하학은 평면이다.
더욱 선호되는 실시형태들에서, 유전체 플라즈마 경계부에서의 표면파 생성 및 그 표면파에 기초하여 플라즈마 파라미터들을 측정하는 것을 포함하는 시스템과 같은, 다른 검출 시스템들이 이용될 수 있다. 이러한 시스템으로부터 추출될 수 있는 예시적인 플라즈마 파라미터들로는 플라즈마 밀도를 포함한다. 이러한 시스템은 미국 특허 제 6,541,982 호에 개시되어 있으며, 그 전체 내용은 본원에 참조로서 통합된다. 추가적인 예시적인 검출 시스템은, 자기 여기된 전자 플라즈마 공명 분광법 (SEERS) 에 기초한 "허큘리스 (Hercules)" 플라즈마 모니터링 시스템과 같은 전기적 방법들을 포함한다. 허큘리스 방법은, 챔버의 벽에 삽입되어 챔버 벽의 일부로서 작용하는 동축 (coaxial) 센서를 이용한다. 허큘리스 방법의 이용은 전자 충돌 레이트, 전자 밀도, 및 벌크 전력 (bulk power) 과 같은 플라즈마 파라미터들을 결정할 수 있다.
선호되는 실시형태에서, 본 방법은 따라서 실시간으로 적어도 하나의 플라즈마 파라미터를 검출하는 것, 적어도 하나의 플라즈마 파라미터를 시간의 함수로서 플롯팅하는 것, 및 챔버 또는 시스템 오류들을 식별하기 위해 플롯 또는 플롯들을 사정 (assess) 하는 것을 포함한다. 다르게는, 연관된 오류들을 식별하기 위해 플롯 또는 플롯들이 알려진 오류들의 라이브러리와 비교될 수 있다. 일단 오류가 검출되면, 오퍼레이터 또는 웨이퍼 제어 시스템은 선택적으로 정보를 제공받는다. 정보는, 예를 들어, 챔버를 동작시키기 위해 이용되는 모니터 상에 알림 메시지를 표시함으로써, 또는, 챔버를 "다운 (down)" 으로서 동작시키기 위해 이용되는 시스템을 로깅 (logging) 함으로써, 오퍼레이터에 대한 경고 또는 경보의 형 태일 수 있다. 다르게는, 정보는 나중의 평가를 위해 웨이퍼 트랙킹 시스템 또는 다른 데이터베이스에 저장될 수 있다.
실시형태들에서, 챔버 내의 다양한 사이트 (site) 들에 탑재된 복수의 센서들의 이용은 소정의 플라즈마 파라미터들의 균일성의 측정을 제공할 수 있다. 플라즈마 균일성의 실시간 측정은 추가적인 오류 검출 방식들을 제공할 수도 있으며, 향상된 자기 진단을 가능하게 한다.
선호되는 실시형태에 따른 플라즈마 프로세싱 챔버에서의 오류 검출의 수많은 예들이 이로써 예시된다. 모든 경우들에서, PIF 프로브는, 반도체 웨이퍼들의 프로세싱 동안 플라즈마 파라미터 데이터를 수집할 수 있도록 Exelan 2300TM 플라즈마 에치 시스템의 샤워헤드 전극의 중앙 위치에 내장되었다. 웨이퍼들은 통상적인 에치 레시피 (recipe) 들을 이용하여 프로세싱되었으며, 데이터는 매 30ms 마다 한번씩 측정 (약 33회측정/초) 의 공칭 주파수에서 수집되었다. 이들 예들에서, 결정된 플라즈마 파라미터는 플라즈마 밀도였으며, 이 플라즈마 밀도는 각각의 경우에서 시간의 함수로서 플롯팅되었다. 높은 레이트의 데이터 수집 때문에, 플롯팅된 데이터 포인트들은 실선처럼 나타난다. 예시적인 플롯들에서, 데이터 수집은 하드웨어 제한으로 인해 일반적으로 약 27 초에서 단절된다. 실시예 1 내지 실시예 6 에서 사용된 레시피들은 다음 조건들의 범위 내의 에치 프로세스들을 포함한다: 챔버 압력: 50mtorr-150mtorr, 2MHz RF 전력: 2600W-3600W, 27MHz RF 전력: 1700W-2500W, 사용된 가스: 250sccm - 350sccm 의 Ar, 13sccm - 23sccm 의 C4F8, 4sccm - 12 sccm 의 O2.
실시예 1: 다양한 프로세스들이 플라즈마 프로세싱 챔버 내에서 수행될 수 있다. 에치 및 레지스트 박리 프로세스들은 통상적으로 플라즈마 환경에서 수행되며, 이 양 프로세스들을 단일 플라즈마 챔버 내에서 연속적으로 수행하는 것이 바람직하다. 동일 챔버에서 상이한 플라즈마 프로세싱 단계들을 수행하는 능력이 이로운 것으로 고려되며, 그에 의해, 전체 프로세싱 시간 ("사이클 시간") 이 감소될 수 있다. 상이한 플라즈마 프로세싱 단계들이 동일 챔버에서 연속적으로 실행되는 경우, 하나의 프로세스 단계로부터의 잔류물은 다음 프로세스 단계를 시작하기 전에 완전하게 제거되는 것이 바람직하다. 완전한 잔류물 제거는 프로세스 일관성을 향상시키고, "프로세스 메모리" (웨이퍼 단위의 프로세스 수행에서 드리프트 (drift) 를 야기하는 잔류물 축적 (build-up) 효과) 를 감소시킨다.
따라서, 챔버 세정이 필요한 때에 대응하는 챔버 오류 상태를 결정하기 위한 방법을 갖는 것이 이로울 것이다. 이러한 방법은 선택적인 세정 스케쥴의 결정을 향상시킬 것이고, 사용자가 웨이퍼상의 프로세스 또는 디바이스 성능과 챔버 상태들 간의 상관관계를 찾을 수 있도록 허용할 것이다. 챔버를 너무 자주 세정하는 것은 생산성을 감소시킬 수 있는 반면, 챔버를 너무 드물게 세정하는 것은 프로세스 드리프트를 초래할 수 있다.
플라즈마 파라미터 데이터의 제 1 분석이 도 6a 및 도 6b 에서 제공된다. 도 6a 는 클린 챔버에서 웨이퍼의 프로세싱 동안 시간의 함수로서 플라즈마 밀도 를 나타낸다. 데이터는 RF 램프 (63) 및 (약 17.5 초에서의) 최고 플라즈마 밀도의 달성에 이은 감소 기울기 (61) 를 나타낸다. 도 6b 는 대략 추가적인 50 매의 웨이퍼들이 프로세싱된 후 동일 챔버에서 프로세싱되는 웨이퍼에 대한 동일한 곡선을 나타낸다. 데이터는 매우 유사한 곡선을 보이지만, RF 램프에 이은 데이터의 기울기는 더 평탄, 즉, 더 적은 음의 기울기를 갖는다 (62). 더 적은 음의 기울기는 플라즈마 에치 프로세스들에서 통상적으로 보이는 타입의 플라즈마 챔버에서의 중합체, 플루오로카본-계 부산물 축적을 나타내며, 시스템을 그 원래의 프로세스 상태로 복원하기 위해 챔버 세정이 필요하다는 것을 나타낸다.
선호되는 실시형태에서, 이러한 상태는 포스트 RF 램프 데이터의 기울기 절대값이 소정 값 아래로 떨어질 때, 챔버 오류를 식별할 수 있다. 따라서, 이러한 방법을 실행함으로써, 챔버 청결성의 손실로 인한 챔버 오류를 식별할 수 있다. 다른 실시형태들에서, 포스트 램프 데이터의 기울기는, 기울기와 프로세스 성능 특성들 사이의 관계를 확립함으로써 챔버 청결성의 표시자로서 이용될 수 있다. 이러한 방식으로, 챔버의 상태가 모니터링될 수 있고, 오류가 기대될 수 있는 때에 관한 결정이 이루어진다.
대안적인 실시형태들에서, 측정된 양의 중합체가 에치 프로세스의 실시 전에 챔버 벽 표면들 상에 고의로 퇴적된다. 이러한 절차는 "챔버 컨디셔닝" 이라 불린다. 포스트 RF 램프 데이터의 기울기의 절대값이 수치적으로 소정 값 아래로 떨어지는, 위에서 상세히 설명된 방법은 챔버가 에치 프로세스를 시작할 준비가 되지 않은 챔버 오류를 식별하기 위해 이용될 수 있을 것이다.
실시예 2: 주기적인 유지보수 및 다른 수리의 코스 동안, 플라즈마 챔버들은 세정을 위해 분해되고 수리 또는 업그레이드될 수도 있다. 플라즈마 챔버 내의 프로세스 상태들이 높은 진공 하에서 실행되기 때문에, 유지보수 후의 챔버의 적절한 조립은 아주 중요하다. 조립 동안, 챔버 및 챔버 내의 엘리먼트들이 적절하게 조이도록 보장하기 위해 이용되는 볼트들은, 챔버가 최적으로 수행하도록 하기 위해 종종 교정 시퀀스에 있게 된다. 헬륨 통로들을 포함한 샤워헤드 전극 어셈블리에서의 볼트들, 또는, 웨이퍼 백-쿨링을 위한 헬륨 통로들을 갖는 하부 전극에서의 볼트들은 플라즈마 프로세싱 동안 헬륨 "라이트-업" 을 초래할 수 있고, 여기서, 백사이드 (backside) 헬륨이 플라즈마 프로세싱 동안 챔버 내로 의도하지 않게 도입되고, 이온화하며, 플라즈마를 형성한다.
플라즈마 파라미터 데이터의 제 2 분석이 도 7a 및 도 7b 에서 제공된다. 도 7a 는, 챔버가 부정확하게 조인 하부 전극 볼트들로 인해 상태가 악화되고, 헬륨 누설을 초래한, 웨이퍼의 프로세싱 동안 시간의 함수로서 플라즈마 밀도를 나타낸다. 데이터는 RF 램프 (73) 후에 8×109이온들/cm3 차수 상에서 플라즈마 밀도의 절대값의 약 10% 정도의 진동 (71) 을 보인다. 이 플라즈마의 진동은 시각적으로 분명하지 않았다. 도 7b 는 전극 볼트들이 조임을 교정한 후에 동일한 챔버에서 프로세싱되는 웨이퍼에 대한 동일한 곡선을 나타낸다. 데이터는 매우 유사한 곡선을 보이지만, 포스트 RF 램프 진동은 실질적으로 제거되었다 (72). 선호되는 실시형태에서, 챔버 오류로서 분류되는 이러한 상태는 챔버의 상태에 관해 오퍼레이터에게 경보를 하는데 이용될 수 있고, 데이터베이스에 저장될 수 있다. 따라서, 이 방법을 실행함으로써, 헬륨 누설로 인한 챔버 오류를 식별하는 것이 가능하게 된다.
실시예 3: 웨이퍼마다의 프로세스 일관성을 위해, 프로세싱 챔버가 각각의 웨이퍼에 대해 동일한 시퀀스의 단계들을 겪는 것이 바람직하다. 예를 들어, 클램핑 전압들은 바람직하게는 동일한 크기를 갖고, 웨이퍼가 프로세싱될 때마다 동일한 지속기간 동안 인가된다. 가스 플로우들은 설정된 플로우 레이트들 및 지속기간들을 달성하여야 하며, 프로세스 단계 지속기간들 및 그 지속기간들 동안의 플라즈마 상태들은 웨이퍼 프로세싱에 대해 일관된 웨이퍼를 보장하기 위해 고정확도로 재생되어야만 한다. 따라서, 시스템의 파라미터가 프로세싱 동안 일정하게 유지되기를 기대된다면 프로세싱 동안 바뀌는 것은 바람직하지 못하다. 특히, RF 정합 시스템이 원하는 조정 포인트 (tune point) 를 발견한 후에, 시스템은 에치 단계 내내 그 포인트를 유지하도록 기대된다. 에치 단계 동안 정합 시스템의 랜덤한 재조정 (re-tune) 은 제어되지 않은 프로세스 변화를 초래할 수 있다.
플라즈마 파라미터 데이터의 제 3 분석이 도 8a 및 도 8b 에 제공된다. 도 8a 는 웨이퍼의 프로세싱 동안 시간의 함수로서 플라즈마 밀도를 나타내며, 이 웨이퍼의 프로세싱 동안 정합 시스템이 재조정된다. 이 예에서, 재조정은 RF 램프의 개시 (85) 후 약 7 초 후에, 포스트-RF 램프 기간 동안 일어나며, 데이터에서의 짧은 진동 (81) 을 초래한다. 플라즈마 밀도에서의 진동의 진폭은 플라즈 마 밀도의 절대값의 5% 미만이고, 지속기간은 5 초 미만이다. 이벤트는 도 8b 의 화면 이미지에서 정합 시스템 재조정 이벤트로서 확인된다. 도 8b 는 2MHz 및 27MHz RF 소스 주파수들을 시간의 함수로서 나타내는 제 1 셋트의 2 개의 곡선들 (83), 및 2MHz 및 27MHz 의 RF 순방향 전력을 와트 단위로 나타낸 제 2 셋트의 2 개의 곡선들 (84) 을 나타낸다. RF 램프의 개시 (86) 후 약 7 초에 대응하는 시간에서 2MHz 순방향 전력에서 관찰되는 점프 (82) 는 도 8a 에서 보이는 재조정 이벤트를 확인한다.
선호되는 실시형태에서, 시스템 오류로 분류되는 이러한 상태는 오퍼레이터 또는 웨이퍼 트랙킹 시스템에게 프로세싱되고 있는 특정 웨이퍼가 프로세싱 동안 재조정을 경험하였다는 것을 알리는데 이용될 수 있다. 예를 들어, 정합 시스템 재조정 이벤트들이 비순차적 프로세스들의 코스 동안 랜덤하게 발생하였다는 결정으로부터, 시스템 오류가 존재하고, 플라즈마 시스템의 조사가 필요하다고 결정될 수 있다. 임의의 정합 시스템 재조정 이벤트의 검출은 하드웨어 실패 또는 다른 타입의 실패가 일어나고 있을 수 있다는 것을 나타낸다. 따라서, 이 방법을 실행함으로써, 정합 시스템 재조정 이벤트의 발생으로 인한 시스템 오류를 식별하는 것이 가능하다. 이에 응답하여, 오퍼레이터는 프로세싱을 중지시키고 유지보수 절차를 개시할 수도 있다.
실시예 4: 프로세스 일관성 및 챔버 진단을 위해, 시스템에 관한 추가적인 엘리먼트들이 유용할 수도 있다. 임의의 시스템의 바람직한 특성은 안정성이다. 에치 프로세스의 코스 동안, 플라즈마에 대한 섭동은 에치 레이트에서의 변화와 같은 프로세스 에러를 초래할 수도 있다. 이는 오작동하는 매스 (mass) 플로우 제어기 또는 다른 하드웨어 에러로 인한 것일 수 있다. 또 다른 바람직한 특성은 빠른 안정화 레이트이다. 섭동 후, 시스템은 재빨리 그의 적절한 평형 포인트로 복귀한다. 복원 프로세스에서의 과도한 지연은 또한 플라즈마 발생 하드웨어의 조사를 필요로 하는 오류의 특성이다.
플라즈마 파라미터 데이터의 제 4 분석이 도 9 에 제공된다. 위에서 논의한 다른 그래프와 유사하게, 도 9 그래프는 플라즈마 밀도를 시간의 함수로서 나타내며, 하지만 이 경우에는, 스케일이 시프트되고 확장되어, RF 램프 후 11 초 이후만이 나타내어진다. 정합 조정을 나타내는 진동은 참조부호 91 에서 나타낸 바와 같이 17 초 부근에서 존재하고, 여기서, 조정 후의 안정은 온전한 1 초 정도를 필요로 한다. 도면은 또한 약 0.5 초 정도만 지속되는 재조정 이벤트를 나타낸다.
선호되는 실시형태에서, 이러한 상태는 플라즈마 시스템 또는 개별적인 플라즈마 시스템에 관한 소정의 특성들을 확립하기 위해 이용될 수 있다. 이러한 특성들은 챔버마다의 특성화 및 개별 챔버들에서의 결함의 식별에 유용할 수도 있다. 이러한 상태의 원인들로는, 플라즈마 한정 유지 및 설정된 가스 플로우들 유지에 관련되는 하드웨어의 오작동을 포함한다. 이러한 오류의 검출 시, 웨이퍼 프로세싱은 중지될 수도 있고, 웨이퍼는 파기된다. 정합 조정 및 재조정 이벤트들 간의 구별은 다른 센서들과의 상관에 의해 확립될 수 있다. 다른 센서들이 관련된 정합 데이터를 더 낮은 주파수 (예를 들어, 0.1Hz) 에서 기록하는 경 우, 이벤트는 재조정 이벤트라고 이해될 수 있다. 따라서, 이 방법을 실행함으로써, 열악한 안정화 레이트의 발생으로 인한 시스템 오류를 식별하는 것이 가능하다.
실시예 5: 임의의 시스템의 또 다른 바람직한 특성은 플라즈마 특성들이 웨이퍼마다 일정하게 유지된다는 것이다. 플라즈마 밀도 및 이온 플럭스는 이러한 특성들 중에서 주요한 것이다. 플라즈마 밀도 또는 이온 플럭스가 바뀌는 프로세싱 동안 챔버 오류 이벤트가 발생한다면, 웨이퍼 프로세싱에 대한 역효과들이 발생할 수도 있다. 특히, 에치 레이트가 영향받을 수도 있다.
플라즈마 파라미터 데이터의 제 5 분석이 도 10 에서 제공된다. 이 경우, 그래프는 2 매의 웨이퍼들이 연속적으로 프로세싱되는 동안 플라즈마 밀도를 시간의 함수로서 나타낸다. 웨이퍼들은 데이터 곡선 (103) 에 의해 나타내어지는 것은 "웨이퍼 n" 으로서 지칭되고, 데이터 곡선 (104) 에 의해 나타내어지는 것은 "웨이퍼 n+1" 로서 지칭된다 (웨이퍼 n+1 에 대한 불필요한 데이터 포인트들은 제거되었다). 데이터가 시작되는 5초 지점에서부터 14초 지점에서 일어나는 참조부호 101 로 나타내어진 RF 램프의 개시까지 곡선들은 중첩되고 유효하게 식별할 수 없다. 약 17초 정도에서 일어나는 웨이퍼 n+1 의 RF 램프 동안, 플라즈마는 한정되지 않고, 즉, 한정 링을 통한 플라즈마의 누설이 일어난다. 이는 참조부호 102 에서 나타나는 플라즈마 밀도에서의 갑작스런 강하 및 그 다음에 이어지는 부분적인 회복에 의해 반영된다. 플라즈마 밀도에서의 강하는 플라즈마 밀도의 절대값의 15% 를 초과한다. 참조부호 104 에서 나타내어지는 바와 같이 웨이퍼 n+1 에 대한 프로세싱의 나머지는 프로세스 시퀀스 (103) 의 동일 기간 동안 웨이퍼 n 의 프로세싱 동안 발생하는 플라즈마 밀도보다 더 낮은 플라즈마 밀도에서 일어난다. 이러한 플라즈마 밀도에서의 쇠퇴의 가능한 결과물은 열악하게 프로세싱된 또는 미스프로세싱된 웨이퍼이다.
선호되는 실시형태에서, 챔버 오류 또는 시스템 오류일 수도 있는 이러한 상태는 개별 플라즈마 챔버에 관해 소정의 특성들을 확립하기 위해 이용될 수도 있다. 이러한 특성들은 챔버마다의 특성화 및 개별 챔버들에서의 결함들의 식별에 유용할 수도 있다. 이러한 상태의 원인들로는, 플라즈마 한정 또는 가스 플로우들을 유지하는 것과 관련된 하드웨어의 오작동, 더러운 챔버 상태, 및 레지스트 필름에서의 오염물과 같은, 표면 상에 바람직하지 않은 물질을 갖는 웨이퍼를 포함한다. 이러한 오류의 검출 시, 웨이퍼 프로세싱은 중지될 수도 있고, 웨이퍼는 파기된다. 따라서, 이 방법을 실행함으로써, 한정의 손실로 인한 오류를 식별하는 것이 가능하다.
플라즈마 파라미터 데이터의 분석은 또한, 동일한 타입의 웨이퍼에 대해 동일한 프로세스 레시피를 실행하는 일 그룹의 플라즈마 챔버들에서 챔버 정합의 결여를 식별하기 위해 이용될 수 있고, 또는, 새로운 챔버, 또는, 세정된 또는 유지보수된 챔버가 소정의 챔버 자격 사양에 정합 또는 만족하는 것을 보장하기 위해 이용될 수 있다. 예를 들어, 일 그룹의 프로세스 챔버들은 구조적으로 동일하고 동일한 프로세스 조건들에 의해 동작될 수도 있으며, PIF 프로브를 이용하여 획득된 데이터를 이용하여 동작 상태들 하의 이러한 챔버들의 성능을 비교하는 것이 바람직할 수도 있다. 따라서, 챔버 정합을 실행함으로써, 적절하게 수행되지 않고 있을 수도 있는 챔버를 식별하는 것이 가능하다.
선호되는 실시형태에서, 플라즈마 파라미터들은, 플라즈마 챔버의 내부에 대해 노출된 센싱 표면을 갖는 프로브의 이용에 의해 획득되고, 더욱 바람직하게는, 여기서 프로브는 챔버 벽 또는 접지된 전극과 같은 플라즈마 챔버의 컴포넌트에 내장된다. 다중-피스 샤워헤드 전극 어셈블리의 내부 전극부 (inner electrode part) 에 내장된, 도 2 에 나타낸 것과 같은 PIF 프로브의 일 예시적인 개략적 묘사가 도 11 에 나타내어진다. 프로브 (110) 는 바람직하게는 평판 디스크 (113) 의 센싱 표면 (117) 이 샤워헤드 전극 (118) 과 동일 평면 (예를 들어, 공면) 이어서 프로브가 플라즈마에 대해 최소의 영향을 가지도록 위치된다. 프로브는 알루미늄 또는 흑연과 같은 재료로 만들어진 도전체 (111) 를 포함한다. 도 3 에서 개략적으로 나타낸 것과 같은, 프로브를 동작시키기 위해 필요한 전기 회로를 확립하기 위해, 프로브에 대한 전기적 접속, 도전체 (111) 는 바람직하게는 챔버의 내부에서부터 챔버의 외부까지, 벽, 윈도우, 진공 피드-스루 (feed-through) 또는 커넥터 (미도시) 를 통해 챔버 플라즈마 봉쇄 (chamber containment) 를 지나간다. 당업자라면 프로브 (110) 는 프로브 (20) 에 대응하고, 평판 디스크 (113) 는 평판 디스크 (21) 에 대응하며, 도전체 (111) 는 도전체 (22) 에 대응한다는 것을 이해할 것이다. 도 2 의 가드 링 (23) 및 절연체 (24) 는 도 11 의 절연체 (112) 에 대응한다.
도전체 (111) 는 프로브를 전극 어셈블리로부터 전기적으로 절연시키기 위해 전기적 절연체 (112) 에 의해 둘러싸인다. 절연체 (112) 는 바람직하게는 석영과 같은 절연 재료로 만들어지고, 프로브의 후방을 포함하는 표면 (211) 을 갖는다. 플라즈마 및 플라즈마 쉬스에 대한 섭동은 바람직하게는 실리콘과 같은 샤워헤드 전극을 만들기 위해 이용되는 재료와 동일한 재료로 평판 디스크 (113) 를 만듦으로써 감소된다. 또한, 전극 (118) 이 소정의 저항률로 도핑되는 경우, 평판 디스크 (113) 는 동일한 저항률로 도핑되는 것이 바람직하지만, 원하는 경우 상이한 저항률로 또한 도핑될 수도 있다. 예를 들어, 석영 절연체 (112) 가 RF 경로를 섭동하고 있는 경우, 일정한 RF 경로는, 전극 (118) 의 도핑 레벨과는 상이한 디스크 (113) 에 대한 도핑 레벨로 더 잘 달성될 수도 있다. 원하는 경우, 프로브 (110) 는 다른 챔버 표면들에 탑재될 수 있고, 및/또는, 센싱 표면 (117) 은 플라즈마 프로세싱 상태들과 양립가능한 유전체 코팅으로 커버될 수 있다 (예를 들어, 디스크 (113) 는 표면 (117) 상에 애노다이징된 레이어를 갖는 알루미늄 또는 알루미늄 합금의 것일 수 있다).
플라즈마에 대한 프로브의 최소 영향을 추가적으로 달성하기 위해, 프로브의 온도 및 프로브 주위의 가스 플로우는 수정될 수 있다. 특히, 프로브는 바람직하게는 예를 들어 온도 제어 컴포넌트 (115) 를 이용하여 가열된다. 컴포넌트 (115) 는 전기 저항 히터일 수 있고, 또는, 가열 또는 냉각시킬 수 있는 온도 제어된 유체를 순환시키는 튜브일 수 있다. 전기 저항 히터는 AC 또는 DC 전원 (미도시) 에 의해 전력이 인가될 수 있을 것이다. 가열은 온도 제어기 (미도시) 에 의해 제어될 수 있고, 센싱 표면 (117) 의 온도가 샤워헤드 전극의 온도와 동일 하도록 설정된다.
통상적으로 가스 공급-스루 홀들 (114) 에 의해 제공되는 가스 플로우는 프로브의 존재로 인해 교란될 수 있다. 가스 플로우 및 따라서 플라즈마에 대한 교란은, 전극의 뒤에 위치된 흑연 백킹 플레이트 (119) 에 가스를 공급하는, 공통 플레넘 (common plenum) (미도시) 으로의 가스 통로를 형성하는, 프로브의 하나 이상의 선택적 가스 공급-스루 홀들 (116) 의 이용으로 바람직하게 최소화된다. 석영 절연체 및 평판 디스크 (113) 의 동일 선상의 가스 통로들 (116) 은 연속적인 가스 통로들을 형성하고, 바람직하게는 프로브가 부재하였다면 존재하였을 전체 가스 분포 패턴에 가까워지도록 위치된다. 선호되는 실시형태에서, 가스 통로들은 프로브에 위치되고, 여기서, 가스 통로들은 그들이 프로브의 부재의 경우에 샤워헤드 전극에 통상적으로 위치되는 곳에 위치된다.
다중-피스 샤워헤드 전극 어셈블리의 내부 전극부에 내장된 PIF 프로브의 일 예시적인 대안적인 실시형태의 개략적인 묘사가 도 12 에 나타내어진다. 평판 디스크 (113) 는 센싱 표면의 두께를 감소시킴으로써 기생 용량을 감소시키도록 적응되어 있다. 평판 디스크 (123) 는 얼라인먼트 및 도전성을 향상시키기 위해 도전체 (122) 를 수용하고 그 도전체 (122) 와 협력하여 작용하도록 적응된 튜브모양 연장부 (225) 를 갖는다. 평판 디스크 (123) 와 도전체 (122) 는 도전성 리프 스프링 (leaf spring) (125) 을 통해 기계적으로 및 전기적으로 소통한다. 리프 스프링 (125) 은 또한, 예를 들어, 프로브의 다른 부분들의 제거 없이 평판 디스크 (123) 의 독립적인 제거를 가능하게 함으로써 조립의 용이성을 향상시킨다.
도전성 나사산 볼트 (226) 는 평판 디스크 (123) 가, 플라즈마 환경에 대한 장기간 노출로 인해 디스크 (123) 와 노출된 실리콘 표면 (227) 간의 임의의 차분적 마모율에 대해 보상하도록 평판 디스크 (123) 의 전진 (advancement) 을 가능하게 한다. 압축성 재료의 실 (127) (예를 들어, O-링) 의 존재로 인해, 볼트 (226) 는 실 (127) 의 확장 또는 압축의 한계 내에서 밖으로 나오거나 안으로 들어가서 그에 의해 표면 (227) 을 원하는 위치로 이동시킬 수 있다. 프로브의 가스 공급-스루 홀들이 얼라인먼트를 유지하는 것을 보장하기 위해, 하나 이상의 키들 또는 핀들 (124) 은, 도전성 나사산 볼트 (226) 의 전진 결과로서 평판 디스크 (123) 에 제공된 토크가 절연체 (223) 에 대해 평판 디스크 (123) 를 회전시키지 않도록 보장한다. 키들 또는 핀들 (124) 을 설치하기 위해, 둘러싸는 유전체 재료 (223) 는 튜브모양 연장부 (225) 주위에 끼워 맞춰진 2 개의 부분들에 있는 것이 바람직하다. 바람직하게는, 평판 디스크 (123) 및 도전체 (122) 는, 프로브 (123), 도전체 (122) 및 나사산 볼트 (226) 를 전극 (128) 및 흑연 백킹 플레이트 (129) 로부터 전기적으로 절연하는 기능을 하는 절연체 (223) 에 의해 둘러싸인다. 프로브를 동작시키기 위해 필요한 전기 회로를 확립하기 위해, 도전성 나사산 볼트 (226) 를 통한 프로브에의 전기적 접속은 바람직하게는 벽, 윈도우, 진공 피드-스루 또는 커넥터 (미도시) 를 통해, 챔버의 내부로부터 챔버의 외부까지, 챔버 플라즈마 봉쇄를 가로지른다.
절연체 (223) 는 또한 평판 디스크 (123) 를 지지하고 그 평판 디스크 (123) 의 챔버 내부를 향한 방향을 유지하는 기능을 한다. 따라서, 절연체 (223) 는 프로브의 후면 (121) 을 포함한다. 전극 어셈블리로부터의 프로브의 전기적 절연은 바깥쪽 절연체 (222) 에 의해 완성되며, 이 바깥쪽 절연체 (222) 는 백킹 플레이트 (129) 의 상부측의 오목부 내에 끼워 맞춰진 상부 숄더 (shoulder) 를 구비한 원통형 튜브의 형상이다. 절연체 (223) 상의 유사한 숄더가 절연체 (222) 의 상부 숄더 상에 위치하고 있는 한편, 절연체 (222) 는 절연체 (223) 를 둘러싸고 그 절연체 (223) 와 밀접한 접촉을 한다. 바깥쪽 절연체 (222) 는 전극의 하부 표면 (123) 및 센싱 표면 (227) 까지 연장되고 그들과 공면인 표면을 갖는다. 플라즈마에 대한 노출 동안, 바깥쪽 절연체 (222) 는 마모를 겪을 수 있다. 바깥쪽 절연체의 이점들로는, 플라즈마 환경에 대한 노출로부터 기인하는 마모로 인해 교체되어야만 하는 부품들의 사이즈 및 복잡성에서의 감소를 포함한다.
프로브를 관통하는 가스 플로우 (228) 는 가스 공급-스루 홀들 (229) 에 의해 달성된다. 석영 절연체와 평판 디스크의 동일 선상의 가스 공급-스루 홀들은 연속적인 가스 통로들을 형성한다. 가스 통로들은 바람직하게는 프로브의 부재시에 존재하였을 전체 가스 분포 패턴에 가까워지도록 위치된다. 선호되는 실시형태에서, 가스 통로들은 프로브에 위치되고, 여기서, 가스 통로들은 그들이 프로브의 부재의 경우에 샤워헤드 전극에 통상적으로 위치되는 곳에 위치된다.
O-링 (127) 은 샤워헤드 어셈블리의 부분들로부터 도전체 (122) 의 위치 및 전기적 절연을 유지하기 위해 이용된다. O-링 (127) 및 키 (124) 는 테플론과 같은 비도전성, 내열성 및 기계적으로 탄력있는 재료로 만들어지는 것이 바람직하다. 온도 제어 컴포넌트 (221) 는 전기 저항 히터일 수도 있고, 또는, 프로브 를 주위 부분 온도들과 정합시키기 위해 가열 또는 냉각시킬 수 있는 온도 제어된 유체를 순환시키는 튜브일 수도 있다.
다중-피스 샤워헤드 전극 어셈블리의 내부 전극부에 내장된 PIF 프로브의 추가적인 예시적인 대안적 실시형태의 개략적 묘사가 도 13 에 나타내어진다. 본 설계는 내부 전극에 이용하기 위한 도 12 에 나타내어진 설계와 유사하지만, 어떤 수정들이 포함된다. 이 설계에서, 선택적인 가스 공급-스루 홀들이 생략되었다. 추가적으로, 노출된 실리콘 표면 (227) 과 샤워헤드 전극 표면 간의 임의의 차분적 마모율에 대해 보상하기 위한 평판 디스크 (136A) 의 전진을 위한 선택적 수단의 상세한 내용들은 생략되었다.
도 12 에서와 같이, 평판 디스크 (136A) 는 기생 용량을 감소시키도록 적응되고, 얼라인먼트 및 도전성을 향상시키기 위해 도전체 (135) 를 수용하고 그 도전체 (135) 와 협력하여 작용하는 튜브모양 연장부 (136B) 를 갖는다. 연장부 (136B) 를 갖는 평판 디스크 (136A) 및 도전체 (135) 는 절연체 (133) 에 의해 둘러싸이며, 이 절연체 (133) 는 둘러싸는 전극 (139) 및 흑연 백킹 플레이트 (132) 로부터 프로브 및 도전체 (135) 를 전기적으로 절연하는 기능을 한다. 절연체 (133) 는, 평판 디스크 (136A) 를 지지하고 그 평판 디스크 (136A) 의 챔버 내부를 향한 방향을 유지하도록 튜브모양 연장부 (136B) 주위에 끼워 맞춰진 2 개 부분 피스인 것이 바람직하다. 전극 어셈블리로부터 프로브의 전기적 절연은 외부 절연체 (133A) 에 의해 완성되고, 이 외부 절연체 (133A) 는 백킹 플레이트 (132) 의 오목부와 끼워 맞춰진 상부 숄더를 갖는 원통형 튜브의 형상이다.
O-링 (138) 은 샤워헤드 어셈블리의 나머지 부분으로부터 도전체 (135) 의 위치 및 전기적 절연을 유지하기 위해 이용된다. O-링 (138) 은 바람직하게는 테플론과 같은 비도전성, 내열성 및 기계적으로 탄력있는 재료로 만들어진다. 열 제어 플레이트 (131) 는 흑연 백킹 플레이트 (132) 와 밀접하게 접촉한다. 프로브와 전기적 접촉을 확립하는 RF 공급 로드 (134) 는 도면의 평면에 수직하게 도전체 (135) 내에 내장된 것으로 나타난다. 프로브를 동작시키기 위해 필요한 전기 회로를 확립하기 위해, RF 공급 로드 (134) 는 바람직하게는 벽, 윈도우, 진공 피드-스루 또는 커넥터 (미도시) 를 통해, 챔버 내부로부터 챔부 외부까지, 챔버 플라즈마 봉쇄를 가로지른다. 평판 디스크 (136A) 는 경계부 (137) 에서 연장부 (136B) 에 도포된 도전성 스퍼터 코팅 및 리프 스프링의 이용을 통해 도전체 (135) 와 양호한 전기적 접촉을 유지한다.
하나 이상의 프로브들을 포함할 수 있는 샤워헤드 어셈블리 (100) 의 절반의 개략적인 묘사가 도 14 에 나타내어져 있다. 샤워헤드는 상부 전극 (143) 및 그 상부 전극 (143) 에 고정된 선택적 백킹 부재 (142), 열 제어 플레이트 (141), 및 상부 플레이트 (146) 를 포함한다. 상부 플레이트 (146) 는 플라즈마 프로세싱 챔버의 착탈가능한 상부 벽을 형성할 수 있다. 상부 전극 (143) 은 바람직하게는, 내부 전극 부재 (145), 및 선택적 외부 전극 (outer electrode) 부재 (147) 를 포함한다. 내부 전극 부재 (145) 는 바람직하게는 단결정 실리콘으로 만들어진 원통형 디스크이다. 원한다면, 내부 및 외부 전극들 (145, 147) 은 CVD 실리콘 카바이드, 단결정 실리콘 또는 다른 적합한 재료와 같은 재료의 단일 피스로 만들어질 수 있다.
외부 전극 부재 (147) 는 연속 부재 (예를 들어, 링과 같은 폴리실리콘 또는 실리콘 카바이드 부재), 또는 단편화된 부재 (예를 들어, 단결정 실리콘과 같은, 링 구성으로 배치된 2-6 개의 분리된 단편들) 일 수 있다. 상부 전극 (143) 이 다중-단편 외부 전극 부재 (147) 를 포함하는 실시형태들에서, 단편들은 바람직하게는 아래의 접착 재료가 플라즈마에 노출되는 것을 방지하기 위해 서로 중첩된 에지들을 갖는다. 플라즈마 한정 링 어셈블리 (미도시) 는 바람직하게는 외부 전극을 둘러싼다. 내부 전극 부재 (145) 는 바람직하게는 상부 전극 (143) 아래의 플라즈마 반응기 챔버의 공간 내로 프로세스 가스를 분사하는 다수의 가스 통로들 (144) 을 포함한다. 외부 전극 (147) 은 바람직하게는 전극 (143) 의 바깥둘레에 돌출 단차부를 형성한다. 단차진 전극의 더 상세한 내용들은 공동 소유된 미국 특허 제 6,824,627 호에서 찾아볼 수 있고, 그 개시는 본원에 참조로서 통합된다.
도 14 는 샤워헤드 전극 어셈블리 (140) 에 내장된, 도 2 에 나타낸 타입의 프로브들의 일 예시적인 실시형태를 또한 나타내며, 이 샤워헤드 전극 어셈블리 (140) 는 하나 이상의 이러한 프로브들을 통합할 수 있다. 도 11 및 도 12 에 나타낸 프로브들은 선택적인 가스 공급-스루 홀들을 포함하기 때문에, 상부 전극 (143) 은 바람직하게는 도 11 및 도 12 의 참조부호 118 및 참조부호 128 에 각각 대응한다. 또한, 백킹 부재 (142) 는 바람직하게는 도 11 및 도 12 의 참조부호 119 및 참조부호 129 에 각각 대응한다. 예를 들어, 프로브 (148) 는 바람 직하게는 도 11 및 도 12 에 도시된 프로브들과 같이, 가스 공급-스루 홀들과 함께 구성된다. 도 13 에 나타낸 프로브는 가스 공급-스루 홀들을 포함하지 않기 때문에, 외부 전극 (147) 은 바람직하게는 도 13 의 전극 (139) 에 대응한다. 또한, 열 제어 플레이트 (141) 는 바람직하게는 도 13 의 열 제어 플레이트 (131) 에 대응한다. 예를 들어, 프로브 (149) 는 바람직하게는 도 13 에 나타낸 프로브와 같이, 가스 공급-스루 홀들 없이 구성된다.
선호되는 실시형태에 따른 플라즈마 프로세스 챔버의 일 예시적인 간단화된 개략도가 도 15 에 나타내어진다. 도시된 시스템은 Lam Research Corporation 으로부터 이용가능한 EXELANTM 2300 시스템과 같은 병렬 플레이트 플라즈마 시스템 (150) 이다. 시스템 (150) 은 반응기의 저부의 배출구에 연결된 진공 펌프 (158) 에 의해 원하는 진공 압력으로 유지되는 내부 (157) 를 갖는 챔버를 포함한다. 에칭 가스는 가스 공급부 (미도시) 로부터 플라즈마 반응기로 공급된다. RF 소스들 (159) 로부터의 RF 에너지가 정합 네트워크 (미도시) 를 통해 전력이 인가된 하부 전극 (151) 에 공급되는 이중 주파수 배열에 의해 반응기에서 중간 밀도 플라즈마가 생성된다. RF 소스들 (159) 은 27MHz 및 2MHz 에서 RF 전력을 공급하도록 구성되는 것으로 나타내었지만, 상이한 주파수 소스들의 수많은 조합들이 이용될 수 있을 것이다. 플라즈마 한정은 한정 링들 (154) 에 의해 보조된다. 상부 전극 (153) 은 접지되고 가열된 상부 플레이트 (152) 에 연결된다. 기판 (예를 들어, 웨이퍼 (미도시)) 은 정전 척 (ESC) (156) 에 클램핑되고, 이 정전 척 (156) 은 핫 에지 링 (155) 에 의해 둘러싸인다.
추가적으로, EXELANTM HPT 시리즈들, 또는 그 개시 내용이 본원에 참조로서 통합된 공동 소유의 미국 특허 제 6,090,304 호에 설명된 이중 주파수 플라즈마 에치 반응기와 같은, RF 전력이 양 전극들 모두에 공급되는 용량성 커플링된 반응기들과 같은 다른 용량성 커플링된 반응기들이 이용될 수 있다. 선호되는 실시형태들이 용량성 커플링된 플라즈마 프로세싱 챔버들과 함께 논의되었지만, 실시형태들은 또한 (Lam Research Corporation 으로부터의 Transformer Coupled Plasma 또는 TCPTM 플라즈마 프로세싱 챔버들과 같은) 유도성 커플링된 플라즈마 프로세싱 챔버들, 또는 전자 사이클로트론 공명 (ECR) 플라즈마 프로세싱 챔버들에 적용된다.
전술한 도 14 는 샤워헤드 전극에 통합된 평판 프로브들을 나타내지만, 프로브는, 프로브 표면이 챔버 내의 플라즈마 환경에 대해 노출된다면 벽, 라이너, 한정 링, 포커스 링, 가스 배출구들 없는 전극, 가스 배분 플레이트 및 기판 지지대와 같은 다른 챔버 부품들에 통합될 수 있을 것이다. 평판 프로브는 기판에 가까운 플라즈마 파라미터들을 측정하기 위해 상부 전극의 중앙 부분에 배치될 수도 있고, 또는, 평판 프로브가 기판 바로 위에 놓이지 않도록 상부 전극의 바깥쪽 부분에 배치될 수도 있다.
또 다른 실시형태에서, 복수의 프로브들이 그 프로브들의 센싱 표면이 플라즈마 챔버의 내부를 향하도록 배치된다. 복수의 프로브들이 플라즈마의 균일성에 관련된 정보를 제공할 수 있을 것이고, 또는 내부의 상대적인 교정을 제공할 수 도 있을 것이다. 예를 들어, 하나의 프로브가 샤워헤드 전극의 중앙에 또는 중앙 부근에 위치될 수 있을 것이고, 추가적인 프로브들이 샤워헤드 전극 내의 중앙 위치로부터 상이한 반경들에 위치될 수 있을 것이다. 본 발명을 그것의 특정 실시형태들을 참조하여 상세히 설명하였지만, 첨부된 청구범위의 범주로부터 벗어남이 없이 다양한 변화들 및 수정들이 이루어질 수 있고, 균등물들이 채용될 수 있다는 것은 당업자에게 있어 자명할 것이다.

Claims (20)

  1. 플라즈마 챔버 내에서 플라즈마 프로세스를 개시하는 단계;
    상기 플라즈마 프로세스에 대한 플라즈마 파라미터 데이터를 획득하는 단계로서, 상기 플라즈마 파라미터 데이터는 프로브의 사용에 의해 획득되며, 상기 프로브의 센싱 표면은 상기 플라즈마에 대해 노출되고 상기 플라즈마 챔버 내의 벽 또는 컴포넌트 표면과 동일 평면 (coextensive) 인, 상기 플라즈마 파라미터 데이터를 획득하는 단계; 및
    오류 상태 (fault condition) 의 표시들을 위해 상기 플라즈마 파라미터 데이터를 평가하는 단계를 포함하는, 플라즈마 프로세스 모니터링 방법.
  2. 제 1 항에 있어서,
    상기 플라즈마 파라미터 데이터를 평가하는 단계는,
    상기 플라즈마 파라미터 데이터를 컴퓨터 판독가능 저장 매체 시스템 상에 저장하는 단계,
    상기 플라즈마 파라미터 데이터를 필터링하는 단계,
    상기 플라즈마 파라미터 데이터에 대해 알고리즘을 적용하는 단계,
    상기 플라즈마 파라미터 데이터에 대해 수학적 연산을 수행하는 단계, 및
    상기 플라즈마 파라미터 데이터를 현존하는 참조 데이터와 비교하는 단계의 임의의 조합을 포함하며,
    상기 플라즈마 파라미터 데이터를 현존하는 참조 데이터와 비교하는 단계에 의해 해석, 및 그 해석에 기초한 상태 리포트 및/또는 경보의 발행을 초래하는, 플라즈마 프로세스 모니터링 방법.
  3. 제 1 항에 있어서,
    상기 플라즈마 파라미터 데이터는 상기 플라즈마를 실질적으로 섭동시키지 않고 획득되는, 플라즈마 프로세스 모니터링 방법.
  4. 제 1 항에 있어서,
    상기 프로브는 접지된 표면에서 또는 라디오 주파수 바이어스된 표면 내로 연장하는 오목부에 위치되는, 플라즈마 프로세스 모니터링 방법.
  5. 제 1 항에 있어서,
    상기 프로브는 평면 이온 플럭스 (PIF) 타입 또는 비용량성 커플링된 프로브인, 플라즈마 프로세스 모니터링 방법.
  6. 제 1 항에 있어서,
    상기 플라즈마 파라미터 데이터는, 유전체 플라즈마 경계부에서 표면파를 생성시키고, 상기 표면파에 기초하여 플라즈마 밀도를 측정함으로써 획득되는, 플라즈마 프로세스 모니터링 방법.
  7. 제 1 항에 있어서,
    (a) 상기 플라즈마 파라미터 데이터는 웨이퍼 프로세싱의 코스 동안 일련의 측정치들로서 수집되고, (b) 상기 플라즈마 파라미터 데이터는 포스트-RF 램프 (post-RF ramp) 플라즈마 밀도 데이터를 포함하며, 및/또는 (c) 상기 플라즈마 파라미터 데이터는 상기 플라즈마 챔버의 RF 정합 시스템의 랜덤한 재조정 (retuning) 을 포함하는, 플라즈마 프로세스 모니터링 방법.
  8. 제 7 항에 있어서,
    플라즈마 파라미터 데이터의 상기 일련의 측정치들은 시간의 함수로서 그래프 형태로 플롯팅되는, 플라즈마 프로세스 모니터링 방법.
  9. 제 7 항에 있어서,
    상기 오류 상태는 상기 플라즈마 챔버에서의 프로세스 부산물들의 축적 (build-up) 또는 헬륨 누설인, 플라즈마 프로세스 모니터링 방법.
  10. 제 7 항에 있어서,
    (a) 상기 포스트-RF 램프 플라즈마 밀도 데이터의 기울기에서의 변화는, 상기 플라즈마 챔버 오류 상태가 상기 플라즈마 챔버에서의 프로세스 부산물들의 축적이라는 것을 나타내기 위해 이용되고, (b) 상기 플라즈마 밀도의 절대값의 약 5% 와 약 20% 사이의, RF 램프 후의 상기 플라즈마 밀도의 진동은 상기 플라즈마 챔버 오류 상태가 헬륨 누설이라는 것을 나타내기 위해 이용되며, 및/또는 (c) 상기 RF 램프 후에 일어나는, 상기 플라즈마 밀도의 절대값의 5% 미만의 진폭의, 그리고 5 초 미만의 지속기간 동안의 상기 플라즈마 밀도의 진동은 상기 플라즈마 챔버 오류 상태가 상기 RF 정합 시스템의 랜덤한 재조정이라는 것을 나타내기 위해 이용되는, 플라즈마 프로세스 모니터링 방법.
  11. 제 1 항에 있어서,
    상기 플라즈마 파라미터 데이터가, 상기 플라즈마 챔버 오류 상태는 열악한 안정화 레이트의 발생이라는 것을 나타내기 위해 이용되는, 플라즈마 프로세스 모니터링 방법.
  12. 제 7 항에 있어서,
    정합 조정 후의 상기 플라즈마 밀도의 진폭에서의 안정은 상기 플라즈마 밀도의 진폭에서의 적절한 평형점으로 복귀하기 전에 약 1/2 초보다 더 소요되어, 상기 플라즈마 챔버 오류 상태가 열악한 안정화 레이트의 발생이라는 것을 나타내는, 플라즈마 프로세스 모니터링 방법.
  13. 제 1 항에 있어서,
    상기 플라즈마 파라미터 데이터가, 상기 플라즈마 챔버 오류 상태는 플라즈 마 한정의 손실의 발생이라는 것을 나타내기 위해 이용되는, 플라즈마 프로세스 모니터링 방법.
  14. 제 1 항에 있어서,
    상기 플라즈마 파라미터 데이터가, 상기 플라즈마 챔버 오류 상태는 동일 타입의 웨이퍼들에 대해 동일한 프로세스 레시피 (recipe) 를 실행하는 일 그룹의 플라즈마 챔버들에서의 챔버 정합의 결여의 발생이라는 것을 나타내기 위해 이용되는, 플라즈마 프로세스 모니터링 방법.
  15. 제 2 항에 있어서,
    (a) 상기 상태 리포트 및/또는 경보는 시스템에서의 교정 단계를 개시하고, (b) 상기 상태 리포트 및/또는 경보는 상기 해석을 웨이퍼 이력을 보유하는 로그에 기록하며, 및/또는 (c) 상기 상태 리포트 및/또는 경보는 상기 플라즈마 챔버에서의 웨이퍼의 프로세싱을 중지시키는, 플라즈마 프로세스 모니터링 방법.
  16. 제 1 항에 기재된 플라즈마 프로세스 모니터링 방법을 수행하기 위한 장치로서,
    (a) 프로브는 플라즈마 프로세싱 챔버의 벽, 또는 플라즈마 프로세싱 챔버의 샤워헤드 전극, 라이너, 한정 링, 포커스 링, 가스 배출구들 없는 전극, 가스 배분 플레이트 및 기판 지지대 중 임의의 컴포넌트에 내장되고, (b) 상기 프로브는 온도 제어 컴포넌트의 이용에 의해 가열되며, (c) 상기 프로브는, 상기 프로브의 센싱 표면과 상기 프로브가 내장된 챔버 컴포넌트의 인접 및 둘러싸는 표면 간의 차분 마모 레이트에 대해 보상할 수 있으며, 및/또는 (d) 상기 프로브는 용량성 커플링된 플라즈마 프로세싱 챔버, 유도성 커플링된 플라즈마 프로세싱 챔버 및 전자 사이클로트론 공명 (ECR) 플라즈마 프로세싱 챔버 중 임의의 플라즈마 프로세싱 챔버에 내장되는, 장치.
  17. 제 16 항에 있어서,
    PIF 프로브가 다중-피스 샤워헤드 전극 어셈블리의 내부 (inner) 또는 외부 (outer) 전극 부분에 내장되는, 장치.
  18. 제 16 항에 있어서,
    상기 프로브는 샤워헤드 전극에 위치되고, 상기 프로브는, 상기 프로브의 센싱 표면으로부터 상기 프로브의 후면까지 관통하여 가스 통로를 형성하는 하나 이상의 가스 공급-스루 홀들 (gas feed-through holes) 을 포함하며, (a) 상기 가스 공급-스루 홀들은 상기 프로브가 부재하였다면 존재하였을 전체 가스 분포 패턴에 가까워지도록 위치되거나, 또는 (b) 상기 가스 공급-스루 홀들은 상기 프로브에, 상기 프로브의 부재의 경우 상기 가스 공급-스루 홀들이 상기 샤워헤드 전극에 위치되었을 곳에 위치되는, 장치.
  19. 제 1 항에 있어서,
    상기 플라즈마 파라미터 데이터는 복수의 프로브들의 사용에 의해 획득되는, 플라즈마 프로세스 모니터링 방법.
  20. 제 18 항에 있어서,
    복수의 프로브들이, 상기 복수의 프로브들의 각각의 센싱 표면이 상기 플라즈마에 노출되며, 상기 플라즈마 챔버 내의 벽 또는 컴포넌트 표면과 실질적으로 공면이도록 배치되는, 장치.
KR1020097000325A 2006-06-07 2007-05-25 플라즈마 프로세싱 반응기의 오류 상태를 검출하기 위한 방법 및 장치 KR101355741B1 (ko)

Applications Claiming Priority (3)

Application Number Priority Date Filing Date Title
US11/447,946 2006-06-07
US11/447,946 US7829468B2 (en) 2006-06-07 2006-06-07 Method and apparatus to detect fault conditions of plasma processing reactor
PCT/US2007/012581 WO2007145801A2 (en) 2006-06-07 2007-05-25 Method and apparatus to detect fault conditions of a plasma processing reactor

Publications (2)

Publication Number Publication Date
KR20090028622A true KR20090028622A (ko) 2009-03-18
KR101355741B1 KR101355741B1 (ko) 2014-01-27

Family

ID=38820783

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020097000325A KR101355741B1 (ko) 2006-06-07 2007-05-25 플라즈마 프로세싱 반응기의 오류 상태를 검출하기 위한 방법 및 장치

Country Status (6)

Country Link
US (2) US7829468B2 (ko)
JP (2) JP5164978B2 (ko)
KR (1) KR101355741B1 (ko)
CN (1) CN101595238B (ko)
TW (1) TWI450643B (ko)
WO (1) WO2007145801A2 (ko)

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101108541B1 (ko) * 2010-04-23 2012-01-30 (주)에이티엔에스 표피효과를 이용하여 플라즈마 공정 챔버의 세정주기를 결정하는 장치
KR20140113593A (ko) * 2013-03-15 2014-09-24 램 리써치 코포레이션 플라즈마 시스템에서 오동작 장치 결정
KR20150138974A (ko) * 2014-05-30 2015-12-11 세메스 주식회사 기판 처리 장치 및 방법

Families Citing this family (95)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7479207B2 (en) * 2006-03-15 2009-01-20 Lam Research Corporation Adjustable height PIF probe
US8171877B2 (en) * 2007-03-14 2012-05-08 Lam Research Corporation Backside mounted electrode carriers and assemblies incorporating the same
KR100920417B1 (ko) * 2007-08-01 2009-10-14 주식회사 에이디피엔지니어링 센싱유닛 및 이를 가지는 기판처리장치
US8043470B2 (en) * 2007-11-21 2011-10-25 Lam Research Corporation Electrode/probe assemblies and plasma processing chambers incorporating the same
US8022718B2 (en) * 2008-02-29 2011-09-20 Lam Research Corporation Method for inspecting electrostatic chucks with Kelvin probe analysis
US20090249128A1 (en) * 2008-03-30 2009-10-01 Heckman Randy L Predictive diagnostics system, apparatus, and method for improved reliability
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
US8276604B2 (en) * 2008-06-30 2012-10-02 Lam Research Corporation Peripherally engaging electrode carriers and assemblies incorporating the same
US8075701B2 (en) * 2008-06-30 2011-12-13 Lam Research Corporation Processes for reconditioning multi-component electrodes
US8164349B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Capacitively-coupled electrostatic (CCE) probe arrangement for detecting strike step in a plasma processing chamber and methods thereof
WO2010005933A2 (en) 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
CN102714167B (zh) * 2008-07-07 2015-04-22 朗姆研究公司 用于检测等离子处理室内的原位电弧放电事件的被动电容耦合静电(cce)探针装置
US8161906B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Clamped showerhead electrode assembly
US8206506B2 (en) 2008-07-07 2012-06-26 Lam Research Corporation Showerhead electrode
US8221582B2 (en) 2008-07-07 2012-07-17 Lam Research Corporation Clamped monolithic showerhead electrode
KR20110050618A (ko) * 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법
JP5643198B2 (ja) * 2008-07-07 2014-12-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内の膜を特徴付けるためのrfバイアス容量結合静電(rfb−cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
JP5661622B2 (ja) * 2008-07-07 2015-01-28 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバで用いるための真空ギャップを備えたプラズマ対向プローブ装置
JP4672073B2 (ja) * 2008-08-22 2011-04-20 株式会社日立国際電気 基板処理装置、半導体装置の製造方法及び基板処理装置の運用方法
TWI402137B (zh) * 2008-12-10 2013-07-21 Lam Res Corp 雙重功能電極平板與利用拋光轉盤及雙重功能電極平板拋光矽電極之方法
US8869741B2 (en) * 2008-12-19 2014-10-28 Lam Research Corporation Methods and apparatus for dual confinement and ultra-high pressure in an adjustable gap plasma chamber
US8402918B2 (en) 2009-04-07 2013-03-26 Lam Research Corporation Showerhead electrode with centering feature
US8272346B2 (en) 2009-04-10 2012-09-25 Lam Research Corporation Gasket with positioning feature for clamped monolithic showerhead electrode
US9767988B2 (en) 2010-08-29 2017-09-19 Advanced Energy Industries, Inc. Method of controlling the switched mode ion energy distribution system
US11615941B2 (en) 2009-05-01 2023-03-28 Advanced Energy Industries, Inc. System, method, and apparatus for controlling ion energy distribution in plasma processing systems
US8538572B2 (en) 2009-06-30 2013-09-17 Lam Research Corporation Methods for constructing an optimal endpoint algorithm
US8618807B2 (en) 2009-06-30 2013-12-31 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8295966B2 (en) * 2009-06-30 2012-10-23 Lam Research Corporation Methods and apparatus to predict etch rate uniformity for qualification of a plasma chamber
US8983631B2 (en) 2009-06-30 2015-03-17 Lam Research Corporation Arrangement for identifying uncontrolled events at the process module level and methods thereof
US8650002B2 (en) * 2009-06-30 2014-02-11 Lam Research Corporation Determining plasma processing system readiness without generating plasma
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) * 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
KR101708078B1 (ko) * 2009-06-30 2017-02-17 램 리써치 코포레이션 플라즈마 챔버의 검정을 위한 에칭 레이트 균일성을 예측하는 방법 및 장치
SG169960A1 (en) 2009-09-18 2011-04-29 Lam Res Corp Clamped monolithic showerhead electrode
KR200464037Y1 (ko) 2009-10-13 2012-12-07 램 리써치 코포레이션 샤워헤드 전극 어셈블리의 에지-클램핑되고 기계적으로 패스닝된 내부 전극
CZ304249B6 (cs) * 2009-11-18 2014-01-29 Fyzikální ústav AV ČR, v.v.i. Způsob synchronizace měření pro sondovou diagnostiku plazmatu a měřicí systém k provádění tohoto způsobu
US9111729B2 (en) * 2009-12-03 2015-08-18 Lam Research Corporation Small plasma chamber systems and methods
US8573152B2 (en) 2010-09-03 2013-11-05 Lam Research Corporation Showerhead electrode
US8444456B2 (en) 2010-11-02 2013-05-21 Lam Research Corporation Electrode securing platens and electrode polishing assemblies incorporating the same
US9134186B2 (en) * 2011-02-03 2015-09-15 Kla-Tencor Corporation Process condition measuring device (PCMD) and method for measuring process conditions in a workpiece processing tool configured to process production workpieces
US20120283973A1 (en) * 2011-05-05 2012-11-08 Imec Plasma probe and method for plasma diagnostics
US9245719B2 (en) 2011-07-20 2016-01-26 Lam Research Corporation Dual phase cleaning chambers and assemblies comprising the same
US20130071581A1 (en) * 2011-09-20 2013-03-21 Jonghoon Baek Plasma monitoring and minimizing stray capacitance
US8854451B2 (en) 2011-10-19 2014-10-07 Lam Research Corporation Automated bubble detection apparatus and method
US9396912B2 (en) 2011-10-31 2016-07-19 Lam Research Corporation Methods for mixed acid cleaning of showerhead electrodes
CN102573257A (zh) * 2012-01-11 2012-07-11 西安电子科技大学 大面积均匀等离子体电子密度控制系统
US10128090B2 (en) 2012-02-22 2018-11-13 Lam Research Corporation RF impedance model based fault detection
US9842725B2 (en) 2013-01-31 2017-12-12 Lam Research Corporation Using modeling to determine ion energy associated with a plasma system
US9114666B2 (en) 2012-02-22 2015-08-25 Lam Research Corporation Methods and apparatus for controlling plasma in a plasma processing system
US9197196B2 (en) 2012-02-22 2015-11-24 Lam Research Corporation State-based adjustment of power and frequency
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9462672B2 (en) 2012-02-22 2016-10-04 Lam Research Corporation Adjustment of power and frequency based on three or more states
US9404183B2 (en) * 2012-06-08 2016-08-02 Novellus Systems, Inc. Diagnostic and control systems and methods for substrate processing systems using DC self-bias voltage
US9685297B2 (en) 2012-08-28 2017-06-20 Advanced Energy Industries, Inc. Systems and methods for monitoring faults, anomalies, and other characteristics of a switched mode ion energy distribution system
KR101860182B1 (ko) * 2012-08-28 2018-05-21 어드밴스드 에너지 인더스트리즈 인코포레이티드 스위칭 모드 이온 에너지 분포 시스템을 제어하기 위한 방법
US9017513B2 (en) 2012-11-07 2015-04-28 Lam Research Corporation Plasma monitoring probe assembly and processing chamber incorporating the same
US9653269B2 (en) * 2013-08-14 2017-05-16 Applied Materials, Inc. Detecting arcing using processing chamber data
DE102013110722A1 (de) * 2013-09-27 2015-04-02 Fraunhofer-Gesellschaft zur Förderung der angewandten Forschung e.V. Plasma-ionengestütztes Beschichtungsverfahren und Plasmasonde
US20150147830A1 (en) * 2013-11-26 2015-05-28 Applied Materials, Inc. Detection of substrate defects by tracking processing parameters
CN104730372B (zh) * 2013-12-13 2018-08-10 朗姆研究公司 基于rf阻抗模型的故障检测
CN103834927B (zh) * 2014-03-17 2016-08-17 上海华虹宏力半导体制造有限公司 判断磁铁性能的方法
US10950421B2 (en) * 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
CN105097603B (zh) * 2014-04-30 2019-03-12 北京北方华创微电子装备有限公司 工艺腔室检测装置及检测工艺腔室中工艺环境的方法
KR102223623B1 (ko) 2014-07-30 2021-03-08 삼성전자주식회사 반도체 제조설비의 관리방법 및 그의 관리시스템
JP6315809B2 (ja) 2014-08-28 2018-04-25 東京エレクトロン株式会社 エッチング方法
US9851389B2 (en) * 2014-10-21 2017-12-26 Lam Research Corporation Identifying components associated with a fault in a plasma system
US10043690B2 (en) * 2015-03-31 2018-08-07 Lam Research Corporation Fault detection using showerhead voltage variation
KR102459432B1 (ko) 2015-06-16 2022-10-27 삼성전자주식회사 기판 제조 설비 및 그의 관리 방법
WO2017100132A1 (en) * 2015-12-10 2017-06-15 Ioneer, Llc Apparatus and method for determining parameters of process operation
US10386828B2 (en) 2015-12-17 2019-08-20 Lam Research Corporation Methods and apparatuses for etch profile matching by surface kinetic model optimization
US9792393B2 (en) 2016-02-08 2017-10-17 Lam Research Corporation Methods and apparatuses for etch profile optimization by reflectance spectra matching and surface kinetic model optimization
US10032681B2 (en) 2016-03-02 2018-07-24 Lam Research Corporation Etch metric sensitivity for endpoint detection
US10197908B2 (en) * 2016-06-21 2019-02-05 Lam Research Corporation Photoresist design layout pattern proximity correction through fast edge placement error prediction via a physics-based etch profile modeling framework
US10283320B2 (en) 2016-11-11 2019-05-07 Applied Materials, Inc. Processing chamber hardware fault detection using spectral radio frequency analysis
US10254641B2 (en) 2016-12-01 2019-04-09 Lam Research Corporation Layout pattern proximity correction through fast edge placement error prediction
JP6890459B2 (ja) * 2017-04-14 2021-06-18 東京エレクトロン株式会社 プラズマ処理装置及び制御方法
US10534257B2 (en) 2017-05-01 2020-01-14 Lam Research Corporation Layout pattern proximity correction through edge placement error prediction
KR20190137927A (ko) * 2017-05-02 2019-12-11 도쿄엘렉트론가부시키가이샤 플라즈마 프로세스 후에 표면 입자 불순물들을 감소시키기 위한 제조 방법
JP6676020B2 (ja) 2017-09-20 2020-04-08 株式会社日立ハイテク プラズマ処理装置及びプラズマ処理装置状態予測方法
TWI767088B (zh) 2017-11-17 2022-06-11 新加坡商Aes全球公司 電漿處理系統,用於調變其中的電源的控制方法及相關的電漿處理控制系統
TW202329762A (zh) 2017-11-17 2023-07-16 新加坡商Aes 全球公司 用於在空間域和時間域上控制基板上的電漿處理之系統和方法,及相關的電腦可讀取媒體
EP3711080B1 (en) 2017-11-17 2023-06-21 AES Global Holdings, Pte. Ltd. Synchronized pulsing of plasma processing source and substrate bias
US10572697B2 (en) 2018-04-06 2020-02-25 Lam Research Corporation Method of etch model calibration using optical scatterometry
KR20200131342A (ko) 2018-04-10 2020-11-23 램 리써치 코포레이션 레지스트 및 에칭 모델링
CN111971551A (zh) 2018-04-10 2020-11-20 朗姆研究公司 机器学习中的光学计量以表征特征
US10977405B2 (en) 2019-01-29 2021-04-13 Lam Research Corporation Fill process optimization using feature scale modeling
TWI776015B (zh) * 2019-01-30 2022-09-01 晶喬科技股份有限公司 半導體元件的製程開發方法以及系統
JP2020177785A (ja) * 2019-04-17 2020-10-29 日本電産株式会社 プラズマ処理装置
JP2022541004A (ja) 2019-07-12 2022-09-21 エーイーエス グローバル ホールディングス, プライベート リミテッド 単一制御型スイッチを伴うバイアス供給装置
US11521832B2 (en) * 2020-01-10 2022-12-06 COMET Technologies USA, Inc. Uniformity control for radio frequency plasma processing systems
US11942309B2 (en) 2022-01-26 2024-03-26 Advanced Energy Industries, Inc. Bias supply with resonant switching
US11670487B1 (en) 2022-01-26 2023-06-06 Advanced Energy Industries, Inc. Bias supply control and data processing
WO2024005004A1 (ja) * 2022-06-30 2024-01-04 東京エレクトロン株式会社 調整方法及びプラズマ処理装置
WO2024005035A1 (ja) * 2022-06-30 2024-01-04 東京エレクトロン株式会社 プラズマ処理方法及びプラズマ処理装置
US11978613B2 (en) 2022-09-01 2024-05-07 Advanced Energy Industries, Inc. Transition control in a bias supply

Family Cites Families (46)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US3624240A (en) 1970-03-24 1971-11-30 Atomic Energy Commission Feedback stabilization of a magnetically confined plasma
US4006404A (en) * 1976-01-30 1977-02-01 The United States Of America As Represented By The Secretary Of The Navy Pulsed plasma probe
JPH05136098A (ja) * 1991-11-15 1993-06-01 Seiko Epson Corp 半導体装置の製造装置及び半導体装置の製造方法
DE4445762A1 (de) 1994-12-21 1996-06-27 Adolf Slaby Inst Forschungsges Verfahren und Vorrichtung zum Bestimmen absoluter Plasmaparameter
JP3208044B2 (ja) * 1995-06-07 2001-09-10 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法
FR2738984B1 (fr) * 1995-09-19 1997-11-21 Centre Nat Rech Scient Procede et dispositif de mesure d'un flux d'ions dans un plasma
JPH09232289A (ja) * 1996-02-28 1997-09-05 Nec Kyushu Ltd ドライエッチング装置
US6090304A (en) 1997-08-28 2000-07-18 Lam Research Corporation Methods for selective plasma etch
EP0932194A1 (en) * 1997-12-30 1999-07-28 International Business Machines Corporation Method and system for semiconductor wafer fabrication process real-time in-situ interactive supervision
US6383402B1 (en) 1998-04-23 2002-05-07 Sandia Corporation Method and apparatus for monitoring plasma processing operations
JP3497091B2 (ja) * 1998-07-23 2004-02-16 名古屋大学長 プラズマ生成用高周波パワーの制御方法、およびプラズマ発生装置
US6326794B1 (en) 1999-01-14 2001-12-04 International Business Machines Corporation Method and apparatus for in-situ monitoring of ion energy distribution for endpoint detection via capacitance measurement
US6368975B1 (en) 1999-07-07 2002-04-09 Applied Materials, Inc. Method and apparatus for monitoring a process by employing principal component analysis
US6646386B1 (en) 1999-07-20 2003-11-11 Tokyo Electron Limited Stabilized oscillator circuit for plasma density measurement
US6741944B1 (en) 1999-07-20 2004-05-25 Tokyo Electron Limited Electron density measurement and plasma process control system using a microwave oscillator locked to an open resonator containing the plasma
US6553332B2 (en) 1999-12-22 2003-04-22 Texas Instruments Incorporated Method for evaluating process chambers used for semiconductor manufacturing
JP2001203097A (ja) * 2000-01-17 2001-07-27 Canon Inc プラズマ密度計測装置および方法並びにこれを利用したプラズマ処理装置および方法
US6653852B1 (en) 2000-03-31 2003-11-25 Lam Research Corporation Wafer integrated plasma probe assembly array
US6441620B1 (en) 2000-06-20 2002-08-27 John Scanlan Method for fault identification in a plasma process
US6383554B1 (en) * 2000-09-05 2002-05-07 National Science Council Process for fabricating plasma with feedback control on plasma density
US6391787B1 (en) 2000-10-13 2002-05-21 Lam Research Corporation Stepped upper electrode for plasma processing uniformity
JP3670206B2 (ja) 2000-11-06 2005-07-13 アルプス電気株式会社 プラズマ処理装置又はプラズマ処理システムの性能評価方法、保守方法、性能管理システム、及び性能確認システム、並びにプラズマ処理装置
US6744212B2 (en) 2002-02-14 2004-06-01 Lam Research Corporation Plasma processing apparatus and method for confining an RF plasma under very high gas flow and RF power density conditions
JP4128383B2 (ja) * 2002-03-27 2008-07-30 東京エレクトロン株式会社 処理装置及び処理方法
AU2003270866A1 (en) * 2002-09-30 2004-04-23 Tokyo Electron Limited Method and apparatus for the monitoring and control of a semiconductor manufacturing process
US20040127031A1 (en) * 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP5404984B2 (ja) * 2003-04-24 2014-02-05 東京エレクトロン株式会社 プラズマモニタリング方法、プラズマモニタリング装置及びプラズマ処理装置
JP2004349419A (ja) * 2003-05-21 2004-12-09 Tokyo Electron Ltd プラズマ処理装置の異常原因判定方法及び異常原因判定装置
US7062411B2 (en) 2003-06-11 2006-06-13 Scientific Systems Research Limited Method for process control of semiconductor manufacturing equipment
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US6902646B2 (en) 2003-08-14 2005-06-07 Advanced Energy Industries, Inc. Sensor array for measuring plasma characteristics in plasma processing environments
JP2005129666A (ja) * 2003-10-22 2005-05-19 Canon Inc 処理方法及び装置
US7127358B2 (en) * 2004-03-30 2006-10-24 Tokyo Electron Limited Method and system for run-to-run control
US7712434B2 (en) * 2004-04-30 2010-05-11 Lam Research Corporation Apparatus including showerhead electrode and heater for plasma processing
US20060108069A1 (en) * 2004-11-19 2006-05-25 Samsung Electronics Co., Ltd. Plasma reaction chamber and captive silicon electrode plate for processing semiconductor wafers
US7578301B2 (en) * 2005-03-28 2009-08-25 Lam Research Corporation Methods and apparatus for determining the endpoint of a cleaning or conditioning process in a plasma processing system
US7319316B2 (en) * 2005-06-29 2008-01-15 Lam Research Corporation Apparatus for measuring a set of electrical characteristics in a plasma
US20070074812A1 (en) * 2005-09-30 2007-04-05 Andrej Mitrovic Temperature control of plasma density probe
US7479207B2 (en) * 2006-03-15 2009-01-20 Lam Research Corporation Adjustable height PIF probe
US7413672B1 (en) * 2006-04-04 2008-08-19 Lam Research Corporation Controlling plasma processing using parameters derived through the use of a planar ion flux probing arrangement
US8849585B2 (en) * 2008-06-26 2014-09-30 Lam Research Corporation Methods for automatically characterizing a plasma
WO2010005933A2 (en) * 2008-07-07 2010-01-14 Lam Research Corporation Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
CN102714167B (zh) * 2008-07-07 2015-04-22 朗姆研究公司 用于检测等离子处理室内的原位电弧放电事件的被动电容耦合静电(cce)探针装置
US8164349B2 (en) * 2008-07-07 2012-04-24 Lam Research Corporation Capacitively-coupled electrostatic (CCE) probe arrangement for detecting strike step in a plasma processing chamber and methods thereof
JP5643198B2 (ja) * 2008-07-07 2014-12-17 ラム リサーチ コーポレーションLam Research Corporation プラズマ処理チャンバ内の膜を特徴付けるためのrfバイアス容量結合静電(rfb−cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
KR20110050618A (ko) * 2008-07-07 2011-05-16 램 리써치 코포레이션 플라즈마 프로세싱 챔버에서 디척킹을 검출하기 위한 용량성-커플링된 정전식 (cce) 프로브 장치 및 그 방법

Cited By (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR101108541B1 (ko) * 2010-04-23 2012-01-30 (주)에이티엔에스 표피효과를 이용하여 플라즈마 공정 챔버의 세정주기를 결정하는 장치
KR20140113593A (ko) * 2013-03-15 2014-09-24 램 리써치 코포레이션 플라즈마 시스템에서 오동작 장치 결정
KR20150138974A (ko) * 2014-05-30 2015-12-11 세메스 주식회사 기판 처리 장치 및 방법

Also Published As

Publication number Publication date
CN101595238B (zh) 2012-11-28
JP2012138366A (ja) 2012-07-19
TW200806091A (en) 2008-01-16
US20110022215A1 (en) 2011-01-27
TWI450643B (zh) 2014-08-21
KR101355741B1 (ko) 2014-01-27
CN101595238A (zh) 2009-12-02
US7829468B2 (en) 2010-11-09
US20070284246A1 (en) 2007-12-13
JP5567613B2 (ja) 2014-08-06
WO2007145801A3 (en) 2009-04-02
JP2009540569A (ja) 2009-11-19
WO2007145801A2 (en) 2007-12-21
JP5164978B2 (ja) 2013-03-21

Similar Documents

Publication Publication Date Title
KR101355741B1 (ko) 플라즈마 프로세싱 반응기의 오류 상태를 검출하기 위한 방법 및 장치
US9875883B2 (en) Metrology methods to detect plasma in wafer cavity and use of the metrology for station-to-station and tool-to-tool matching
JP5246836B2 (ja) プラズマ処理装置用のプロセス性能検査方法及び装置
US6332961B1 (en) Device and method for detecting and preventing arcing in RF plasma systems
JP4607517B2 (ja) プラズマ処理装置
JP4929347B2 (ja) Pifプロービング構成を用いるプラズマ処理の制御
JP4508423B2 (ja) プラズマ処理システムにおいてエッチング終点を決定するための方法および装置
KR100819296B1 (ko) 기판 처리 장치, 퇴적물 모니터링 장치 및 퇴적물 모니터링방법
KR20120060827A (ko) 웨이퍼 바이어스 전위를 측정하기 위한 방법 및 장치
JP2003318115A (ja) 窓型プローブ、プラズマ監視装置、及び、プラズマ処理装置
JP5427888B2 (ja) プラズマ処理チャンバ内のストライクステップを検出するための容量結合静電(cce)プローブ構成、それに関連する方法、及び、その方法を実行するコードを格納するプログラム格納媒体
WO2010005933A2 (en) Passive capacitively-coupled electrostatic (cce) probe arrangement for detecting plasma instabilities in a plasma processing chamber
JPWO2008139809A1 (ja) 質量分析ユニット
US10281520B2 (en) Diagnosing an abnormal state of a substrate-processing apparatus
KR20070020226A (ko) V-i프로브 진단을 이용한 플라즈마 에칭 종료점 검출방법
US7993487B2 (en) Plasma processing apparatus and method of measuring amount of radio-frequency current in plasma
JP2006210415A (ja) 部品検査方法、部品検査装置および製造装置
JP3959318B2 (ja) プラズマリーク監視方法,プラズマ処理装置,プラズマ処理方法,およびコンピュータプログラム
CN112345814A (zh) 直流偏压检测方法、装置、治具以及下电极系统
de Castro et al. End-point detection of polymer etching using Langmuir probes
KR101994036B1 (ko) 플라즈마 측정 장치

Legal Events

Date Code Title Description
A201 Request for examination
E902 Notification of reason for refusal
E701 Decision to grant or registration of patent right
GRNT Written decision to grant
FPAY Annual fee payment

Payment date: 20170113

Year of fee payment: 4

FPAY Annual fee payment

Payment date: 20180108

Year of fee payment: 5

LAPS Lapse due to unpaid annual fee