KR20140113593A - 플라즈마 시스템에서 오동작 장치 결정 - Google Patents

플라즈마 시스템에서 오동작 장치 결정 Download PDF

Info

Publication number
KR20140113593A
KR20140113593A KR1020140031108A KR20140031108A KR20140113593A KR 20140113593 A KR20140113593 A KR 20140113593A KR 1020140031108 A KR1020140031108 A KR 1020140031108A KR 20140031108 A KR20140031108 A KR 20140031108A KR 20140113593 A KR20140113593 A KR 20140113593A
Authority
KR
South Korea
Prior art keywords
plasma
generator
impedance matching
mhz
determining
Prior art date
Application number
KR1020140031108A
Other languages
English (en)
Other versions
KR102162430B1 (ko
Inventor
주니어 존 씨. 발코어
브래드포드 제이. 린다커
아서 사토
Original Assignee
램 리써치 코포레이션
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by 램 리써치 코포레이션 filed Critical 램 리써치 코포레이션
Publication of KR20140113593A publication Critical patent/KR20140113593A/ko
Application granted granted Critical
Publication of KR102162430B1 publication Critical patent/KR102162430B1/ko

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/32926Software, data control or modelling
    • GPHYSICS
    • G01MEASURING; TESTING
    • G01RMEASURING ELECTRIC VARIABLES; MEASURING MAGNETIC VARIABLES
    • G01R31/00Arrangements for testing electric properties; Arrangements for locating electric faults; Arrangements for electrical testing characterised by what is being tested not provided for elsewhere
    • G01R31/40Testing power supplies
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01JELECTRIC DISCHARGE TUBES OR DISCHARGE LAMPS
    • H01J37/00Discharge tubes with provision for introducing objects or material to be exposed to the discharge, e.g. for the purpose of examination or processing thereof
    • H01J37/32Gas-filled discharge tubes
    • H01J37/32917Plasma diagnostics
    • H01J37/3299Feedback systems

Landscapes

  • Physics & Mathematics (AREA)
  • Engineering & Computer Science (AREA)
  • Plasma & Fusion (AREA)
  • Chemical & Material Sciences (AREA)
  • Analytical Chemistry (AREA)
  • General Physics & Mathematics (AREA)
  • Plasma Technology (AREA)
  • Drying Of Semiconductors (AREA)

Abstract

플라즈마 시스템에서 오동작 장치를 결정하는 방법들 및 시스템들이 개시된다. 이 방법들 중 일 방법은 플라즈마가 상기 플라즈마 시스템의 플라즈마 챔버 내에서 생성되는지의 여부를 알리는 알림을 수신하는 동작을 포함한다. 플라즈마 시스템은 처리부 및 전력 전달부를 포함한다. 이 방법은 상기 플라즈마가 생성된다는 알림을 수신하면, 상기 플라즈마 시스템이 한정 사항들 내에서 동작하는지의 여부를 판정하는 동작, 상기 처리부가 상기 전력 전달부로부터 분리되면 상기 전력 전달부의 출력부에서의 변수의 값을 결정하는 동작 및 상기 결정된 값을 상기 변수의 사전 기록된 값과 비교하는 동작을 포함한다. 이 방법은 상기 결정된 값이 상기 변수의 사전 기록된 값의 범위 외에 있는지를 판정하는 동작, 및 상기 결정된 값이 상기 사전 기록된 값의 범위 외에 있다고 판정되면, 오동작 장치가 상기 전력 전달부 내에 있다고 판정하는 동작을 포함한다.

Description

플라즈마 시스템에서 오동작 장치 결정{DETERMINING A MALFUNCTIONING DEVICE IN A PLASMA SYSTEM}
본 실시예들은 플라즈마 시스템에서 오동작 장치를 결정하는 바에 대한 것이다.
플라즈마 기반 시스템에서, 무선 주파수 (RF) 생성기는 플라즈마 챔버 내에 플라즈마를 생성하도록 임피던스 매칭 회로를 통해서 플라즈마 챔버에 제공되는 RF 신호를 생성한다. 플라즈마 기반 시스템은 RF 신호 생성, RF 신호 전달 및 플라즈마 생성을 실현하게 하는 복수의 회로들을 포함한다.
이 회로 요소들은 오동작할 수 있다. 예를 들어서, 회로 요소들은 오류가 있는 결과들을 생성하도록 오동작하거나 오동작하지 않을 수 있다. 이러한 결과들은 플라즈마의 오류 임피펀스 (erroneous impedance) 등을 포함할 수 있다.
플라즈마 기반 시스템에서 오동작이 있는지의 여부를 판정하기 위해서, 임피던스 매칭 회로의 출력부의 일지점에서 센서가 사용된다. 그러나, 센서는 매우 고가이다. 예를 들어서, 몇몇 개체들은 각 플라즈마 기반 시스템에 대한 센서의 구입 비용을 피하기 위해서 복수의 플라즈마 기반 시스템들에서 동일한 한 개의 센서를 사용한다.
이러한 맥락에서, 본 개시에서 기술되는 실시예들이 나타난다.
본 개시의 실시예들은 플라즈마 시스템에서 오동작 장치를 판정하기 위한 장치, 방법 및 컴퓨터 프로그램을 제공한다. 본 실시예들은 예를 들어서 프로세스, 장치, 시스템, 하드웨어 피스 또는 컴퓨터 판독 가능한 매체 상의 방법과 같은 다양한 방식으로 구현될 수 있다. 몇 개의 실시예들이 이하에서 기술된다.
일 실시예에서, 오동작 장치를 결정하는 시스템은 하나 이상의 플라즈마 처리 툴들을 포함한다. 상기 하나 이상의 플라즈마 처리 툴들 각각은 처리 대상 (work piece) 을 처리하기 위한 하나 이상의 플라즈마 모듈들을 포함한다. 상기 하나 이상의 플라즈마 처리 툴들 각각은 상기 처리 대상을 2 개의 플라즈마 모듈들 간에서 전달하기 위한 전달 모듈을 포함한다. 각 플라즈마 모듈은 전력 전달부 및 처리부를 포함한다. 상기 전력 전달부는 플라즈마를 생성하는 상기 처리부로 제공할 무선 주파수 (RF) 전력을 생성한다. 상기 시스템은 상기 하나 이상의 툴들에 접속된 컴퓨팅 장치를 포함한다. 상기 컴퓨팅 장치는 프로세서를 포함한다. 상기 프로세서는 상기 플라즈마 모듈들 중 임의의 하나가 한정 사항들 내에서 동작하는지의 여부를 판정하고, 상기 플라즈마 모듈이 상기 한정 사항들 내에서 동작하지 않는다고 판정되면, 상기 전력 전달부의 출력부에서의 변수의 값을 결정한다. 상기 값은 상기 전력 전달부가 알려진 부하 (known load) 에 접속된 때에 결정된다. 상기 프로세서는 상기 결정된 값이 상기 변수의 사전 기록된 값의 범위 외에 있는지가 판정되도록 상기 결정된 값을 상기 변수의 사전 기록된 값과 비교한다. 상기 프로세서는 상기 결정된 값이 상기 사전 기록된 값의 범위 외에 있다고 판정되면, 오동작 장치가 상기 전력 전달부의 입력부와 상기 전력 전달부의 출력부 간에 있다고 판정한다.
다수의 실시예들에서, 상기 사전 기록된 값은 사전 설정된 방식을 따르는 프로브를 사용하여서 생성되는 값을 포함한다. 일 실시예에서, 상기 사전 설정된 방식은 표준이다. 이 표준의 실례는 센서의 표준을 개발하는 협회 (Association) 에 의해서 개발된 표준을 포함한다. 이 표준의 다른 실례는 NIST 표준을 포함한다.
일 실시예에서, 플라즈마 시스템 내의 오동작 장치를 결정하는 방법이 기술된다. 이 방법은 플라즈마가 상기 플라즈마 시스템의 플라즈마 챔버 내에서 생성되는지의 여부를 알리는 알림을 수신하는 동작; 및 상기 플라즈마가 생성된다는 알림을 수신하면, 상기 플라즈마 시스템이 한정 사항들 내에서 동작하는지의 여부를 판정하는 동작을 포함한다. 상기 플라즈마 시스템이 상기 한정 사항들 내에서 동작하는지의 여부를 판정하는 동작은 상기 플라즈마 시스템이 상기 플라즈마 시스템의 플라즈마 챔버와 RF 생성기 간에 위치한 임피던스 매칭 회로를 포함할 때에 수행된다. 또한, 상기 플라즈마 시스템이 상기 한정 사항들 내에서 동작하는지의 여부를 판정하는 동작은 상기 플라즈마 시스템이 상기 임피던스 매칭 회로를 상기 플라즈마 챔버에 접속시키는 RF 전송 라인을 포함할 때에 수행된다. 이 방법은 상기 플라즈마 시스템이 상기 한정 사항들 내에서 동작하지 않는다고 판정되면, 상기 RF 전송 라인의 노드에서의 변수의 값을 결정하는 동작을 포함한다. 상기 변수의 값을 결정하는 동작은 상기 임피던스 매칭 회로가 알려진 부하에 접속된 때에 수행된다. 이 방법은 상기 결정된 값을 상기 변수의 사전 기록된 값과 비교하는 동작, 상기 결정된 값이 상기 변수의 사전 기록된 값의 범위 외에 있는지를 판정하는 동작, 및 상기 결정된 값이 상기 사전 기록된 값의 범위 외에 있다고 판정되면, 오동작 장치가 상기 RF 생성기의 입력부와 상기 노드 간에 있다고 판정하는 동작을 포함한다. 상기 방법은 하나 이상의 프로세서에 의해서 실행된다.
플라즈마 시스템 내의 오동작 장치를 결정하는 방법이 개시된다. 이 방법은 플라즈마가 상기 플라즈마 시스템의 플라즈마 챔버 내에서 생성되는지의 여부를 알리는 알림을 수신하는 동작을 포함한다. 상기 플라즈마 시스템은 처리부 및 전력 전달부를 포함한다. 이 방법은 상기 플라즈마가 생성된다는 알림을 수신하면, 상기 플라즈마 시스템이 한정 사항들 내에서 동작하는지의 여부를 판정하는 동작을 포함한다. 이 방법은 상기 처리부가 상기 전력 전달부로부터 분리된 때에 상기 전력 전달부의 출력부에서의 변수의 값을 결정하는 동작을 포함한다. 이 방법은 상기 결정된 값을 상기 변수의 사전 기록된 값과 비교하는 동작; 상기 결정된 값이 상기 변수의 사전 기록된 값의 범위 외에 있는지를 판정하는 동작; 및 상기 결정된 값이 상기 사전 기록된 값의 범위 외에 있다고 판정되면, 오동작 장치가 상기 전력 전달부의 입력부와 상기 전력 전달부의 출력부 간에 있다고 판정하는 동작을 포함한다.
플라즈마 시스템은 RF 신호를 생성하는 무선 주파수 생성기, 상기 무선 주파수 생성기에 접속된 임피던스 매칭 회로, 및 상기 임피던스 매칭 회로에 접속된 RF 전송 라인을 포함한다. 플라즈마 시스템은 상기 임피던스 매칭 회로에 접속된 플라즈마 챔버를 더 포함한다. 상기 임피던스 매칭 회로는 상기 무선 주파수 (RF) 생성기에 접속된 소스의 임피던스를 상기 무선 주파수 생성기에 접속된 소스의 임피던스에 매칭하기 위해서 사용된다. 상기 RF 전송 라인은 RF 신호를 플라즈마 챔버에 전송하기 위해서 사용된다. 플라즈마 시스템은 RF 생성기에 접속된 프로세서를 포함한다. 프로세서는 플라즈마가 상기 플라즈마 시스템의 플라즈마 챔버 내에서 생성되는지의 여부를 알리는 알림을 수신하고 상기 플라즈마가 생성된다는 알림을 수신하면, 상기 플라즈마 시스템이 한정 사항들 내에서 동작하는지의 여부를 판정하도록 구성된다. 상기 플라즈마 시스템이 상기 한정 사항들 내에서 동작하는지의 여부를 판정하는 동작은 상기 임피던스 매칭 회로가 RF 전송 라인을 통해서 상기 플라즈마 챔버에 접속된 때에 수행된다. 상기 프로세서는 상기 플라즈마 시스템이 상기 한정 사항들 내에서 동작하지 않는다고 판정되면, 상기 임피던스 매칭 회로의 출력부에서의 변수의 값을 결정하도록 더 구성된다. 상기 값은 상기 임피던스 매칭 회로가 RF 전송 라인을 통해서 알려진 부하에 접속된 때에 결정된다. 프로세서는 상기 결정된 값을 상기 변수의 사전 기록된 값과 비교하고, 상기 결정된 값이 상기 변수의 사전 기록된 값의 범위 외에 있는지를 판정하며, 및 상기 결정된 값이 상기 사전 기록된 값의 범위 외에 있다고 판정되면, 오동작 장치가 상기 RF 생성기의 입력부와 RF 전송 라인의 출력부 간에 있다고 판정하도록 더 구성된다.
플라즈마 시스템은 플라즈마를 생성하기 위한 플라즈마 챔버를 포함하는 처리부를 포함한다. 플라즈마 시스템은 RF 신호를 생성하기 위한 무선 주파수 생성기를 포함하는 전력 전달부를 포함한다. 플라즈마 시스템은 상기 RF 생성기에 접속된 프로세서를 더 포함한다. 상기 프로세서는 플라즈마가 상기 플라즈마 시스템의 플라즈마 챔버 내에서 생성되는지의 여부를 알리는 알림을 수신하고 상기 플라즈마가 생성된다는 알림을 수신하면, 상기 플라즈마 시스템이 한정 사항들 내에서 동작하는지의 여부를 판정하도록 구성된다. 상기 플라즈마 시스템이 상기 한정 사항들 내에서 동작하는지의 여부를 판정하는 동작은 상기 임피던스 매칭 회로가 RF 전송 라인을 통해서 상기 플라즈마 챔버에 접속된 때에 수행된다. 상기 프로세서는 상기 플라즈마 시스템이 상기 한정 사항들 내에서 동작하지 않는다고 판정되면, 상기 전력 전달부의 출력부에서의 변수의 값을 결정하도록 더 구성된다. 상기 값은 상기 임피던스 매칭 회로가 RF 전송 라인을 통해서 알려진 부하에 접속된 때에 결정된다. 프로세서는 상기 결정된 값을 상기 변수의 사전 기록된 값과 비교하고, 상기 결정된 값이 상기 변수의 사전 기록된 값의 범위 외에 있는지를 판정하며, 및 상기 결정된 값이 상기 사전 기록된 값의 범위 외에 있다고 판정되면, 오동작 장치가 상기 전력 전달부의 입력부와 상기 전력 전달부의 출력부 간에 있다고 판정하도록 더 구성된다.
상술된 실시예들의 몇몇 장점은 플라즈마 시스템이 오동작하는지의 여부를 판정하기 위해서 플라즈마 시스템의 장치의 출력부에서 고가의 센서를 사용할 필요가 없다는 것을 포함한다. 예를 들어서, 플라즈마 시스템의 임피던스 매칭 회로의 출력부에서의 전압을 측정하는 계측 툴을 사용하는 대신에, 이 전압 값은 플라즈마 시스템의 RF 생성기 내에 이미 존재하는 센서에 기초하여서 또는 비용 부담이 없는 저가의 센서에 기초하여서 결정된다. 측정된 값은 사전 설정된 방식에 기초하여서 생성된 사전 기록된 값과 비교된다. 이 비교 결과에 기초하여서, 플라즈마 시스템의 장치가 오동작하는지의 여부가 판정된다. 따라서, 플라즈마 시스템이 오동작하는지의 여부를 판정하기 위해서 계측 툴을 사용할 필요가 없어진다.
다른 측면들이 첨부 도면을 참조하여서 다음의 상세한 설명 부분으로부터 명백해질 것이다.
이 실시예들은 첨부 도면과 함께 취해지는 다음의 설명 부분을 참조하면 최상으로 이해될 수 있다.
도 1은 본 개시에서 기술되는 실시예에 따르는, 임피던스 매칭 모델의 출력부에서의 변수, RF 전송 모델의 부분의 출력부에서의 변수 및 정전 척 (ESC) 모델의 출력부에서의 변수를 결정하기 위한 시스템의 블록도이다.
도 2는 본 개시에서 기술되는 실시예에 따르는, RF 전송 모델 부분의 출력부에서의 복소 전압 및 전류를 결정하는 방법의 흐름도이다.
도 3a은 본 개시에서 기술되는 실시예에 따르는, 임피던스 매칭 회로를 예시하는데 사용된 시스템의 블록도이다.
도 3b은 본 개시에서 기술되는 실시예에 따르는, 임피던스 매칭 모델의 회로도이다.
도 4는 본 개시에서 기술되는 실시예에 따르는, RF 전송 라인을 예시하는데 사용되는 시스템의 도면이다.
도 5a는 본 개시에서 기술되는 실시예에 따르는, RF 전송 라인의 회로 모델을 예시하는데 사용되는 시스템의 도면이다.
도 5b는 본 개시에서 기술되는 실시예에 따르는, RF 전송 모델의 터널 및 스트랩 모델을 예시하는데 사용되는 전기적 회로의 도면이다.
도 5c는 본 개시에서 기술되는 실시예에 따르는, 터널 및 스트랩 모델을 예시하는데 사용되는 전기적 회로의 도면이다.
도 6은 본 개시에서 기술되는 실시예에 따르는, 실린더 및 ESC 모델을 예시하는데 사용되는 전기적 회로의 도면이다.
도 7은 본 개시에서 기술되는 실시예에 따르는, 변수를 결정하는데 사용되는 필터들을 포함하는 플라즈마 시스템의 블록도이다.
도 8a은 본 개시에서 기술되는 실시예에 따르는, 변수 정확성을 개선하는 필터들의 모델을 예시하는데 사용된 시스템의 도면이다.
도 8b은 본 개시에서 기술되는 실시예에 따르는, 필터들의 모델을 예시하는데 사용된 시스템의 도면이다.
도 9은 본 개시에서 기술되는 실시예에 따르는, 도 1의 시스템의 RF 생성기의 출력부에서의 변수를 측정하기 위해서 전압 및 전류 프로브를 사용하는 시스템의 블록도이다.
도 10은 본 개시에서 기술되는 실시예에 따르는, 전압 및 전류 프로브 및 통신 장치가 RF 생성기 외부에 위치하는 시스템의 블록도이다.
도 11은 본 개시에서 기술되는 실시예에 따르는, 도 1의 시스템을 사용하여서 결정된 변수의 값들이 사용되는 시스템의 블록도이다.
도 12a는 본 개시에서 기술되는 실시예에 따르는, 프로브를 사용하여서 도 1의 시스템 내의 일 노드에서 측정된 변수들과 x MHz RF 생성기가 온 상태에 있을 때에 도 2의 방법을 사용하여서 결정된 변수들 간의 상관성을 예시하는 그래프들의 도면이다.
도 12b는 본 개시에서 기술되는 실시예에 따르는, 프로브를 사용하여서 도 1의 시스템 내의 일 노드에서 측정된 변수들과 y MHz RF 생성기가 온 상태에 있을 때에 도 2의 방법을 사용하여서 결정된 변수들 간의 상관성을 예시하는 그래프들의 도면이다.
도 12c는 본 개시에서 기술되는 실시예에 따르는, 프로브를 사용하여서 도 1의 시스템 내의 일 노드에서 측정된 변수들과 z MHz RF 생성기가 온 상태에 있을 때에 도 2의 방법을 사용하여서 결정된 변수들 간의 상관성을 예시하는 그래프들의 도면이다.
도 13은 본 개시에서 기술되는 실시예에 따르는, 임피던스 매칭 모델, RF 전송 모델 또는 ESC 모델의 모델 노드에서의 웨이퍼 바이어스를 결정하는 방법의 흐름도이다.
도 14는 본 개시에서 기술되는 실시예에 따르는, 웨이퍼 바이어스를 생성하는데 사용되는 웨이퍼 바이어스 생성기를 예시하는 상태도이다.
도 15는 본 개시에서 기술되는 실시예에 따르는, 임피던스 매칭 모델과 ESC 모델 간의 경로를 따르는 일 지점에서의 웨이퍼 바이어스를 결정하는 방법의 흐름도이다.
도 16은 본 개시에서 기술되는 실시예에 따르는, 모델의 일 노드에서의 웨이퍼 바이어스를 결정하기 위한 시스템의 블록도이다.
도 17은 본 개시에서 기술되는 실시예에 따르는, 도 1의 시스템의 모델 노드에서의 웨이퍼 바이어스를 결정하기 위한 방법의 흐름도이다.
도 18은 본 개시에서 기술되는 실시예에 따르는, 전압 프로브를 사용하는 대신에 도 13, 도 15 또는 도 17의 방법을 사용함으로써 웨이퍼 바이어스를 결정하는 바의 이점들을 예시하는데 사용되는 시스템의 블록도이다.
도 19a는 본 개시에서 기술되는 실시예에 따르는, 프로브들을 사용하여서 도 1의 플라즈마 시스템 내의 일 노드에서 측정된 변수들과 y MHz RF 생성기 및 z MHz RF 생성기가 온 상태에 있을 때에 도 2, 도 13, 도 15 또는 도 17의 방법을 사용함으로써 결정된 대응하는 모델 노드에서의 변수들 간의 상관성을 예시하는 그래프들의 도면이다.
도 19b는 본 개시에서 기술되는 실시예에 따르는, 프로브들을 사용하여서 도 1의 플라즈마 시스템 내의 일 노드에서 측정된 변수들과 x MHz RF 생성기 및 z MHz RF 생성기가 온 상태에 있을 때에 도 2, 도 13, 도 15 또는 도 17의 방법을 사용함으로써 결정된 대응하는 모델 노드에서의 변수들 간의 상관성을 예시하는 그래프들의 도면이다.
도 19c는 본 개시에서 기술되는 실시예에 따르는, 프로브들을 사용하여서 도 1의 플라즈마 시스템 내의 일 노드에서 측정된 변수들과 x MHz RF 생성기 및 y MHz RF 생성기가 온 상태에 있을 때에 도 2, 도 13, 도 15 또는 도 17의 방법을 사용함으로써 결정된 대응하는 모델 노드에서의 변수들 간의 상관성을 예시하는 그래프들의 도면이다.
도 20a는 본 개시에서 기술되는 실시예에 따르는, 센서 툴을 사용하여서 측정된 배선형 웨이퍼 바이어스, x MHz RF 생성기가 온 상태에 있을 때에 도 13, 도 15 또는 도 17의 방법을 사용함으로써 결정된 모델 웨이퍼 바이어스 및 이 모델 웨이퍼 바이어스 내의 오차 간의 상관성을 예시하는 그래프들의 도면이다.
도 20b는 본 개시에서 기술되는 실시예에 따르는, 센서 툴을 사용하여서 측정된 배선형 웨이퍼 바이어스, y MHz RF 생성기가 온 상태에 있을 때에 도 13, 도 15 또는 도 17의 방법을 사용함으로써 결정된 모델 웨이퍼 바이어스 및 이 모델 웨이퍼 바이어스 내의 오차 간의 상관성을 예시하는 그래프들의 도면이다.
도 20c는 본 개시에서 기술되는 실시예에 따르는, 센서 툴을 사용하여서 측정된 배선형 웨이퍼 바이어스, z MHz RF 생성기가 온 상태에 있을 때에 도 13, 도 15 또는 도 17의 방법을 사용함으로써 결정된 모델 웨이퍼 바이어스 및 이 모델 웨이퍼 바이어스 내의 오차 간의 상관성을 예시하는 그래프들의 도면이다.
도 20d는 본 개시에서 기술되는 실시예에 따르는, 센서 툴을 사용하여서 측정된 배선형 웨이퍼 바이어스, x MHz RF 생성기 및 y MHz RF 생성기가 온 상태에 있을 때에 도 13, 도 15 또는 도 17의 방법을 사용함으로써 결정된 모델 웨이퍼 바이어스 및 이 모델 웨이퍼 바이어스 내의 오차 간의 상관성을 예시하는 그래프들의 도면이다.
도 20e는 본 개시에서 기술되는 실시예에 따르는, 센서 툴을 사용하여서 측정된 배선형 웨이퍼 바이어스, x MHz RF 생성기 및 z MHz RF 생성기가 온 상태에 있을 때에 도 13, 도 15 또는 도 17의 방법을 사용함으로써 결정된 모델 웨이퍼 바이어스 및 이 모델 웨이퍼 바이어스 내의 오차 간의 상관성을 예시하는 그래프들의 도면이다.
도 20f는 본 개시에서 기술되는 실시예에 따르는, 센서 툴을 사용하여서 측정된 배선형 웨이퍼 바이어스, y MHz RF 생성기 및 z MHz RF 생성기가 온 상태에 있을 때에 도 13, 도 15 또는 도 17의 방법을 사용함으로써 결정된 모델 웨이퍼 바이어스 및 이 모델 웨이퍼 바이어스 내의 오차 간의 상관성을 예시하는 그래프들의 도면이다.
도 20g는 본 개시에서 기술되는 실시예에 따르는, 센서 툴을 사용하여서 측정된 배선형 웨이퍼 바이어스, x MHz RF 생성기 및 y MHz RF 생성기 및 z MHz RF 생성기가 온 상태에 있을 때에 도 13, 도 15 또는 도 17의 방법을 사용함으로써 결정된 모델 웨이퍼 바이어스 및 이 모델 웨이퍼 바이어스 내의 오차 간의 상관성을 예시하는 그래프들의 도면이다.
도 21는 본 개시에서 기술되는 실시예에 따르는, 도 1의 시스템의 호스트 시스템의 블록도이다.
도 22는 본 개시에서 기술되는 실시예에 따르는, 플라즈마 시스템 내의 오동작 장치를 결정하기 위한 시스템의 블록도이다.
도 23은 본 개시에서 기술되는 실시예에 따르는, 도 22의 플라즈마 시스템 내의 오동작 장치를 결정하기 위한 방법의 흐름도이다.
도 24는 본 개시에서 기술되는 실시예에 따르는, 변수 값들이 플라즈마 시스템 내에서 오동작이 있는지의 여부를 판정하는데 사용되는 플라즈마 시스템의 도면이다.
도 25는 본 개시에서 기술되는 실시예에 따르는, 플라즈마 시스템 내의 오동작 장치를 결정하기 위한 플라즈마 시스템의 블록도이다.
도 26a는 본 개시에서 기술되는 실시예에 따르는, 도 25의 플라즈마 시스템 내의 오동작 장치를 결정하기 위한 방법의 흐름도이다.
도 26b는 본 개시에서 기술되는 실시예에 따르는, 도 26a의 방법의 흐름도의 계속된 부분이다.
다음의 실시예들은 플라즈마 시스템에서 오동작 장치를 결정하기 위한 방법들 및 시스템들을 기술한다. 본 실시예들은 이러한 특정 세부 사항 전부 또는 일부가 없어도 실시될 수 있다. 다른 경우에서, 잘 알려진 프로세스 동작들은 본 실시예들을 불필요하게 모호하게 하지 않도록 세부적으로는 기술되지 않을 것이다.
도 1은 임피던스 매칭 모델 (104) 의 출력부에서의 변수, RF 전송 라인 (113) 의 모델인 RF 전송 모델 (161) 의 부분 (173) 의 예를 들어서 모델 노드 N1m와 같은 출력부에서의 변수 및 정전 척 (ESC) 모델 (125) 의 예들 들어서 모델 노드 N6m와 같은 출력부에서의 변수를 결정하기 위한 시스템 (126) 의 실시예의 블록도이다. 이 변수의 실례는 복소 전압 (complex voltage), 복소 전류, 복소 전압 및 전류, 복소 전력, 웨이퍼 바이어스, 이온 에너지 등을 포함한다. RF 전송 라인 (113) 은 예를 들어서 노드 N2와 같은 출력부를 갖는다. 전압 및 전류 (VI) 프로브 (110) 는 x MHz RF 생성기의 예를 들어서 노드 N3와 같은 출력부에서 예를 들어서 제 1 복소 전압 및 전류와 같은 복소 전압 및 전류 VxMHz, IxMHz, 및 ΦxMHz 을 측정한다. 여기서, VxMHz 는 전압 크기를 나타내며, IxMHz 는 전류 크기를 나타내며, Φx 는 VxMHz 와 IxMHz 간의 위상을 나타낸다. 임피던스 매칭 모델 (104) 은 예를 들어서 모델 노드 N4m와 같은 출력부를 갖는다.
또한, 전압 및 전류 프로브 (111) 는 y MHz RF 생성기의 예를 들어서 노드 N5와 같은 출력부에서 복소 전압 및 전류 VyMHz, IyMHz, 및 ΦyMHz 을 측정한다. 여기서, VyMHz 는 전압 크기를 나타내며, IyMHz 는 전류 크기를 나타내며, Φy 는 VyMHz 와 IyMHz 간의 위상을 나타낸다.
몇몇 실시예들에서, 일 장치의 노드는 이 장치의 입력부이거나 이 장치의 출력부이거나 이 장치 내의 일 지점이다. 본 명세서에서 사용되는 "장치 (device)" 는 이하에서 기술된다.
다양한 실시예들에서, 전압 크기는 제로-대-피크 크기, 피크-대-피크 크기 또는 RMS (root mean square) 크기를 포함하며, 이들은 RF 신호의 하나 이상의 주파수 값들의 전압 크기이다. 몇몇 실시예들에서, 전류 크기는 제로-대-피크 크기, 피크-대-피크 크기 또는 RMS 크기를 포함하며, 이들은 RF 신호의 하나 이상의 주파수 값들의 전류 크기이다. 몇몇 실시예들에서, 전력 크기는 전압 크기, 전류 크기 및 전압 크기와 전류 크기 간의 위상의 적 (product) 이다.
x MHz 의 실례는 2 MHz, 27 MHz 및 60 MHz 을 포함한다. y MHz의 실례는 2 MHz, 27 MHz, 및 60 MHz를 포함한다. x MHz는 y MHz와 상이하다. 예를 들어서, x MHz가 2 MHz일 때, y MHz 는 27 MHz 또는 60 MHz이다. x MHz가 27 MHz일 때, y MHz는 60 MHz이다.
전압 및 전류 프로브 (110,111) 각각의 실례는 사전 설정된 방식에 따르는 전압 및 전류 프로브를 포함한다. 사전 설정된 방식의 실례는 센서들에 대한 표준을 개발하하는 협회 (Assocication) 가 따르는 표준을 포함한다. 사전 설정된 방식의 다른 실례는 NIST (National Institute of Standards and Technology) 표준을 포함한다. 본 예시에서, 전압 및 전류 프로브 (110,111) 각각은 이 NIST 표준에 따르도록 전압 및 전류 프로브 (110,111) 를 캘리브레이션하는 개방 회로, 쇼트 회로 (short circuit) 또는 알려진 부하에 접속된다. 전압 및 전류 프로브 (110,111) 각각은 이 NIST 표준에 기초하여서 전압 및 전류 프로브 (110 또는 111) 가 캘리브레이션되도록 먼저 개방 회로에 접속되고, 이어서 쇼트 회로에 접속되고 이어서 알려진 부하에 접속될 수도 있다.
몇몇 실시예들에서, 전압 및 전류 프로브 (110 또는 111) 는 NIST 표준에 기초하여서 전압 및 전류 프로브 (110 또는 111) 가 캘리브레이션되도록 알려진 부하, 개방 회로 및 쇼트 회로에 임의의 순서로 접속된다. 알려진 부하의 실례는 50 ohm 부하, 100 ohm 부하, 200 ohm 부하, 정적 부하, 직류 (DC) 부하, 저항, 등을 포함한다. 예시적으로, 전압 및 전류 프로브 (110 및 111) 각각은 NIST 추적가능한 표준에 따라서 캘리브레이션된다.
전압 및 전류 프로브 (110) 는 x MHz RF 생성기의 예를 들어서 노드 N3와 같은 출력부에 접속된다. x MHz RF 생성기의 예를 들어서 노드 N3와 같은 출력부는 케이블 (150) 을 통해서 임피던스 매칭 회로 (114) 의 입력부 (153) 에 접속된다. 또한, 전압 및 전류 프로브 (111) 는 y MHz RF 생성기의 예를 들어서 노드 N5와 같은 출력부에 접속된다. y MHz RF 생성기의 예를 들어서 노드 N5와 같은 출력부는 케이블 (152) 을 통해서 임피던스 매칭 회로 (114) 의 다른 입력부 (155) 에 접속된다.
임피던스 매칭 회로 (114) 의 예를 들어서 노드 N4와 같은 출력부는 RF 전송 라인 (113) 의 입력부에 접속된다. RF 전송 라인 (113) 은 부분 (169) 및 다른 부분 (195) 을 포함한다. 부분 (169) 의 입력부는 RF 전송 라인 (113) 의 입력부이다. 부분 (169) 의 예를 들어서 노드 N1와 같은 출력부는 부분 (195) 의 입력부에 접속된다. 부분 (195) 의 노드 N2와 같은 출력부는 플라즈마 챔버 (175) 에 접속된다. 부분 (169) 의 실례는 RF 실린더 및 RF 스트랩을 포함한다. RF 실린더는 RF 스트랩에 접속된다. 부분 (195) 의 실례는 RF 로드 (rod) 및/또는 예를 들어서 플라즈마 챔버 (175) 를 지지하는 실린더와 같은 지지부를 포함한다.
플라즈마 챔버 (175) 는 정전 척 (ESC) (177), 상부 전극 (179) 및 이 상부 전극 (179) 을 둘러싸는 상부 유전체 링, 상부 유전체 링을 둘러싸는 상부 전극 확장부, ESC (177) 의 하부 전극을 둘러싸는 하부 유전체 링, 하부 유전체 링을 둘러싸는 하부 전극 확장부, 상부 플라즈마 제거 (exclusion) 구역 (PEZ) 링, 하부 PEZ 링 등과 같은 다른 부분들 (미도시) 을 포함한다. 상부 전극 (179) 은 ESC (177) 에 대향하면서 마주보고 있다. 예를 들어서 반도체 웨이퍼 등과 같은 처리 대상 (131) 이 ESC (177) 의 상부 표면 (183) 상에서 지지된다. 이 상부 표면 (183) 은 ESC (177) 의 출력부 N6을 포함한다. 처리 대상 (131) 은 출력부 (N6) 상에 배치된다. 예를 들어서 화학 기상 증착, 세정, 증착, 스퍼터링, 에칭, 이온 주입, 레지스트 탈피 등과 같은 다양한 프로세스들이 처리 대상 (131) 처리 동안에 이 처리 대상 (131) 에 대해서 수행된다. ASIC (application specific integrated circuit), PLD (programmable logic device) 등과 같은 집적 회로들이 이 처리 대상 (131) 상에서 개발되며 이 집적 회로들은 셀 폰, 태블릿, 스마트 폰, 컴퓨터,랩탑, 네트워킹 장치 등과 같은 다양한 전자 제품에서 사용된다. 하부 전극 및 상부 전극 (179) 각각은 예를 들어서 알루미늄, 알루미늄 합금, 구리 등과 같은 금속으로 구성된다.
일 실시예에서, 하부 전극 (179) 은 중앙 가스 피드 (feed) (미도시) 에 연통된 구멍을 포함한다. 중앙 가스 피드는 가스 공급원 (미도시) 으로부터 하나 이상의 프로세스 가스를 수용한다. 프로세스 가스의 실례는 O2와 같은 산소 함유 가스를 포함한다. 프로세스 가스의 다른 실례는 테트라플루오로메테인 (CF4), 설퍼 (sulfur) 헥사플루오로라이드 (SF6), 헥사플루오로에테인 (C2F6) 등과 같은 불소 함유 가스를 포함한다. 상부 전극 (179) 은 접지된다. ESC (177) 는 임피던스 매칭 회로 (114) 를 통해서 x MHz RF 생성기 및 y MHz RF 생성기에 접속된다.
프로세스 가스가 상부 전극 (179) 과 ESC (177) 간에 공급되고 x MHz RF 생성기 및/또는 y MHz RF 생성기가 임피던스 매칭 회로 (114) 및 RF 전송 라인 (113)을 통해서 RF 신호들을 ESC (177) 에 공급하면, 프로세스 가스가 점화되어서 플라즈마 챔버 (175) 내에서 플라즈마가 생성된다.
x MHz RF 생성기가 RF 신호를 생성하여 이를 노드 N3, 임피던스 매칭 회로 (114) 및 RF 전송 라인 (113) 을 통해서 ESC (177) 에 전송할 때 그리고 y MHz RF 생성기가 RF 신호를 생성하여 이를 노드 N5, 임피던스 매칭 회로 (114) 및 RF 전송 라인 (113) 을 통해서 ESC (177) 에 전송할 때, 전압 및 전류 프로브 (110) 는 노드 N3에서의 복소 전압 및 전류를 측정하고 전압 및 전류 프로브 (111) 는 노드 N5에서의 복소 전압 및 전류를 측정한다.
전압 및 전류 프로브들 (110,111) 에 의해서 측정된 복소 전압들 및 전류들은 해당 전압 및 전류 프로브들 (110,111) 로부터 해당 통신 장치들 (185,189) 를 통해서 호스트 시스템 (130) 의 저장 하드웨어 유닛 HU (162) 에 제공되어서 저장된다. 예를 들어서, 전압 및 전류 프로브 (110) 에 의해서 측정된 복소 전압 및 전류는 통신 장치 (185) 및 케이블 (191) 을 통해서 호스트 시스템 (130) 에 제공되고 전압 및 전류 프로브 (111) 에 의해서 측정된 복소 전압 및 전류는 통신 장치 (189) 및 케이블 (193) 을 통해서 호스트 시스템 (130) 에 제공된다. 통신 장치의 실례는 데이터를 이더넷 패킷으로 변환하고 이더넷 패킷을 데이터로 변환할 수 있는 이더넷 장치, EtherCAT (Ethernet for Control Automation Technology) 장치, 데이터를 직렬로 전송하는 직렬 인페이스 장치, 데이터를 병렬로 전송하는 병렬 인페이스 장치, USB (Universal Serial Bus) (USB) 인터페이스 장치 등을 포함한다.
호스트 시스템 (130) 의 실례는 데스크탑, 랩탑, 테블릿 등과 같은 컴퓨터를 포함한다. 예시적으로, 호스트 시스템 (130) 은 프로세서 및 저장 유닛 HU (162) 를 포함한다. 본 명세서에서 사용되는 바와 같이, 다양한 실시예들에서, 프로세서는 중앙 처리 유닛 (CPU), 마이크로프로세서, ASIC, PLD 등을 포함한다. 저장 유닛 HU의 실례는 ROM, RAM 또는 이들의 조합을 포함한다. 저장 유닛 HU의 실례는 플래시 메모리, RAID (redundant array of storage disk), 하드 디스크 등을 포함한다.
임피던스 매칭 모델 (104) 은 저장 유닛 HU (162) 내에 저장된다. 임피던스 매칭 모델 (104) 은 임피던스 매칭 회로 (114) 의 특성치와 유사한 특성치인 예를 들어서 커패시턴스, 인덕턴스, 복소 전력, 복소 전압 및 전류 등을 갖는다. 예를 들어서, 임피던스 매칭 모델 (104) 은 임피던스 매칭 회로 (114) 내의 커패시터들 및/또는 인덕터들의 개수와 동일한 개수의 커패시터들 및/또는 인덕터들을 가지며, 그 커패시터들 및/또는 인덕터들은 임피던스 매칭 회로 (114) 내에서의 상호 접속되는 방식과 동일한 방식으로, 예를 들어서 직렬 방식, 병렬 방식 등으로 해서 서로 접속된다. 예시적 설명을 제공하기 위해서, 임피던스 매칭 회로 (114) 가 인덕터와 직렬로 접속된 커패시터를 포함하면, 임피던스 매칭 모델 (104) 도 인덕터와 직렬로 접속된 커패시터를 포함한다.
실례로서, 임피던스 매칭 회로 (114) 는 하나 이상의 전기적 구성 요소들을 포함하며 임피던스 매칭 모델 (104) 은 임피던스 매칭 회로 (114) 의 예를 들어서 컴퓨터 생성 모델 (computer-generated model) 과 같은 설계를 포함한다. 몇몇 실시예들에서, 이 컴퓨터 생성 모델은 입력 하드웨어 유닛을 통해서 사용자로부터 수신된 입력 신호에 기초하여서 프로세서에 의해서 생성된다. 입력 신호들은 모델 내에 포함되는 예를 들어서 커패시터, 인덕터 등과 같은 전기적 구성 요소들에 대한 신호 및 이러한 전기적 구성 요소들 상호 간의 예를 들어서 직렬, 병렬 등과 같은 접속 방식에 대한 신호를 포함한다. 다른 실례로서, 임피던스 매칭 회로 (114) 는 하드웨어 전기적 구성 요소 및 이러한 전기적 구성 요소들 간의 하드웨어 접속부를 포함하며 임피던스 매칭 모델 (104) 은 상기 하드웨어 전기적 구성 요소의 소프트웨어 표현 및 상기 하드웨어 접속부의 소프트웨어 표현을 포함한다. 또 다른 실례로서, 임피던스 매칭 모델 (104) 은 소프트웨어 프로그램을 사용하여서 설계되고 임피던스 매칭 회로 (114) 는 인쇄 회로 보드 상에서 제조된다. 본 명세서에서 사용되는 바와 같이, 전기적 구성 요소들은 저항, 커패시터, 인덕터, 저항들 간의 접속부, 인덕터들 간의 접속부, 커패시터들 간의 접속부 및/또는 저항, 커패시터 및 인덕터의 조합 간의 접속부를 포함할 수 있다.
마찬가지로, 케이블 모델 (163) 및 케이블 (150) 은 유사한 특성치들을 가지며, 케이블 모델 (165) 및 케이블 (152) 도 유사한 특성치들을 갖는다. 실례로서, 케이블 모델 (163) 의 인덕턴스는 케이블 (150) 의 인덕턴스와 동일하다. 다른 실례로서, 케이블 모델 (163) 은 케이블 (150) 의 컴퓨터 생성 모델이며, 케이블 모델 (165) 은 케이블 (152) 의 컴퓨터 생성 모델이다.
마찬가지로, RF 전송 모델 (161) 과 RF 전송 라인 (113) 은 서로 유사한 특성치들을 갖는다. 예를 들어서, RF 전송 모델 (161) 은 RF 전송 라인 (113) 내의 저항들, 커패시터들 및/또는 인덕터들의 개수와 동일한 개수의 저항들, 커패시터들 및/또는 인덕터들을 가지며, 이들의 접속 방식은 RF 전송 라인 (113) 내의 저항들, 커패시터들 및/또는 인덕터들 간의 접속 방식과 동일한 접속 방식 예를 들어서 직렬, 병렬 등을 갖는다. 예를 들어서 설명하면, RF 전송 라인 (113) 이 인덕터와 병렬로 접속된 커패시터를 포함하면, RF 전송 모델 (161) 도 인덕터와 병렬로 접속된 커패시터를 포함한다. 또 다른 실례에서, RF 전송 라인 (113) 이 하나 이상의 전기적 구성 요소들을 포함하며, RF 전송 모델 (161) 은 RF 전송 라인 (113) 의 예를 들어서 컴퓨터 생성 모델과 같은 설계를 갖는다.
몇몇 실시예들에서, RF 전송 모델 (161) 은 예를 들어서 커패시터, 인덕터, 저항 또는 이들의 조합 등과 같은 요소들의 예를 들어서 커패시턴스, 인덕턴스, 저항, 또는 이들의 조합 등과 같은 특성치들을 컴퓨터 계산 (computation) 하는 동작 및 이러한 요소들 간의 예를 들어서 직렬, 병렬, 등과 같은 접속 방식의 결정 동작을 포함하는 컴퓨터 생성 임피던스 변환이다.
케이블 (191) 을 통해서 전압 및 전류 프로브 (110) 로부터 수신된 복소 전압 및 전류 및 임피던스 매칭 모델 (104) 내의 예를 들어서 인덕터, 커패시터 등과 같은 요소들의 예를 들어서 커패시턴스, 인덕턴스 등과 같은 특성치들에 기초하여서, 호스트 시스템 (130) 의 프로세서는 임피던스 매칭 모델 (104) 의 예를 들어서 모델 노드 N4m와 같은 출력부에서 예를 들어서 제 2 복소 전압 및 전류와 같은 복소 전압 및 전류 V, I 및 Φ를 계산한다. 이 모델 노드 N4m에서의 복소 전압 및 전류는 호스트 시스템 (130) 의 예를 들어서 컴팩트 디스크, 플래시 메모리 등과 같은 저장 HU (162) 및/또는 다른 저장 HU 내에 저장된다. 복소 전압 및 전류 V, I 및 Φ는 전압 크기 V, 전류 크기 I 및 전압과 전류 간의 위상 Φ를 포함한다.
임피던스 매칭 모델 (104) 의 출력부는 저항 하드웨어 유닛 (162) 내에 저장된, RF 전송 모델 (161) 의 입력부에 접속된다. 임피던스 매칭 모델 (104) 은 또한 노드 N3에서 측정된 복소 전압 및 전류를 수신하는데 사용되는 예를 들어서 노드 N3m과 같은 입력부를 또한 갖는다.
RF 전송 모델 (161) 은 부분 (173), 다른 부분 (197) 및 출력부 N2m을 포함하며, 출력부 N2m은 모델 노드 N6m에 ESC 모델 (125) 을 통해서 접속된다. ESC 모델 (125) 은 ESC (177) 의 모델이다. 예를 들어서, ESC 모델 (125) 은 ESC (177) 의 특성치와 유사한 특성치를 갖는다. 예를 들어서, ESC 모델 (125) 은 ESC (177) 의 인덕턴스, 커패시턴스, 저항 또는 이들의 조합과 동일한 특성치를 갖는다.
부분 (173) 의 입력부는 RF 전송 모델 (161) 의 입력부이다. 부분 (173) 의 출력부는 부분 (197) 의 입력부에 접속된다. 부분 (172) 은 부분 (169) 의 특성치와 유사한 특성치을 가지며, 부분 (197) 은 부분 (195) 의 특성치과 유사한 특성치를 갖는다.
호스트 시스템 (130) 의 프로세서는 RF 전송 라인 모델 (161) 의 부분 (173) 의 예를 들어서 모델 노드 N1m와 같은 출력부에서 예를 들어서 제 3 복소 전압 및 전류와 같은 복소 전압 및 전류 V, I 및 Φ를 계산한다. 이 모델 노드 N1m에서의 복소 전압 및 전류는 호스트 시스템 (130) 의 예를 들어서 컴팩트 디스크, 플래시 메모리 등과 같은 저장 HU (162) 및/또는 다른 저장 HU 내에 저장된다.
몇몇 실시예들에서, 제 3 복소 전압 및 전류를 결정하는 대신에 또는 이에 추가하여서, 호스트 시스템 (130) 의 프로세서는 임피던스 매칭 모델 (104) 의 출력부에서의 복소 전압 및 전류 및 RF 전송 모델 (161) 의 입력부와 부분 (173) 내의 예를 들어서 일 노드와 같은 일 지점 간의 소자들의 특성치에 기초하여서 상기 부분 (173) 내의 일 지점에서의 예를 들어서 중간 복소 전압 및 전류 V, I 및 Φ과 같은 복소 전압 및 전류를 계산한다.
몇몇 실시예들에서, 제 3 복소 전압 및 전류를 결정하는 대신에 또는 이에 추가하여서, 호스트 시스템 (130) 의 프로세서는 임피던스 매칭 모델 (104) 의 출력부에서의 복소 전압 및 전류 및 RF 전송 모델 (161) 의 입력부와 부분 (197) 내의 예를 들어서 일 노드와 같은 일 지점 간의 소자들의 특성치에 기초하여서 상기 부분 (197) 내의 일 지점에서의 예를 들어서 중간 복소 전압 및 전류 V, I 및 Φ과 같은 복소 전압 및 전류를 계산한다.
몇몇 실시예들에서, 임피던스 매칭 모델 (104) 의 출력부에서의 복소 전압 및 전류는 x MHz RF 생성기의 출력부에서의 복소 전압 및 전류, 케이블 모델 (163) 의 요소들의 특성치 및 임피던스 매칭 모델 (104) 의 특성치에 기초하여서 계산된다는 점에 대하여 유의해야 한다.
2 개의 RF 생성기들이 임피던스 매칭 회로 (114) 에 접속된 것으로 도시되었지만, 일 실시예에서, 예를 들어서 단일 RF 생성기, 3 개의 RF 생성기 등과 같은 임의의 개수의 RF 생성기들이 임피던스 매칭 회로를 통해서 플라즈마 챔버 (175) 에 접속될 수 있다. 예를 들어서, 2 MHz 생성기, 27 MHz 생성기 및 60 MHz 생성기가 임피던스 매칭 회로를 통해서 플라즈마 챔버 (175) 에 접속될 수 있다. 예를 들어서, 상술한 실시예들은 노드 N3에서 측정된 복소 전압 및 전류을 사용하는 것에 대해서 기술되었지만, 다양한 실시예들에서, 상술한 실시예들은 노드 N5에서 측정된 복소 전압 및 전류을 사용할 수 있다.
도 2는 (도 1의) RF 전송 모델 부분 (173) 의 출력부에서의 복소 전압 및 전류를 결정하기 위한 방법 (102) 의 실시예의 흐름도이다. 이 방법 (102) 은 (도 1의) 호스트 시스템 (130) 의 프로세서에 의해서 수행된다. 동작 (106) 에서, 노드 N3에서 측정된 예를 들어서 제 1 복소 전압 및 전류와 같은 복소 전압 및 전류가 (도 1의) 저장 하드웨어 유닛 (162) 내로부터 식별된다. 예를 들어서, 제 1 복소 전압 및 전류가 (도 1의) 전압 및 전류 프로브 (110) 로부터 수신된다고 판정된다. 다른 실례에서, (도 1의) 저장 하드웨어 유닛 (162) 내에 저장된 전압 및 전류 프로브 (110) 의 식별자 (ID) 에 기초하여서, 제 1 복소 전압 및 전류가 이 식별자와 연관된다고 판정된다.
또한, 동작 (107) 에서, (도 1의) 임피던스 매칭 모델 (104) 이 (도 1의) 임피던스 매칭 회로 (114) 의 전기적 구성 요소들에 기초하여서 생성된다. 예를 들어서, 임피던스 매칭 회로 (114) 의 전기적 구성 요소들 간의 접속 사항 및 이 전기적 구성 요소들의 특성치가 호스트 시스템 (130) 에 접속된 입력 하드웨어 유닛을 통해서 사용자에 의해서 호스트 시스템 (130) 의 프로세서에 제공된다. 이 접속 사항 및 특성치를 수신하면, 프로세서는 임피던스 매칭 회로 (114) 의 전기적 구성 요소들의 특성치와 동일한 특성치를 갖는 요소들을 생성하고 임피던스 매칭 회로 (114) 의 전기적 구성 요소들 간의 접속 사항과 동일한 접속 사항을 이 생성된 요소들 간에서 생성한다.
임피던스 매칭 모델 (163) 의 예를 들어서 노드 N3m과 같은 입력부는 제 1 복소 전압 및 전류를 수신한다. 예를 들어서, 호스트 시스템 (130) 의 프로세서가 저장 하드웨어 유닛 (162) 에 액세스하여서 이로부터 제 1 복소 전압 및 전류를 판독하고 이를 처리할 임피던스 매칭 모델 (104) 의 입력부로 전송한다.
동작 (116) 에서, 제 1 복소 전압 및 전류는 (도 1의) 임피던스 매칭 모델 (104) 의 예를 들어서 (도 1의) 노드 N3m과 같은 입력부로부터 임피던스 매칭 모델 (104) 의 하나 이상의 요소들을 통해서 임피던스 매칭 모델 (104) 의 예를 들어서 (도 1의) 노드 N4m과 같은 출력부로 프로파게이팅되며 (propagated), 이로써 이 임피던스 매칭 모델 (104) 의 출력부에서의 제 2 복소 전압 및 전류가 결정된다. 예를 들어서, 도 3b를 참조하면, 2 MHz RF 생성기가 온 상태에 있으면, 예를 들어서 동작 상태가 되거나 전력이 공급되거나 플라즈마 시스템 (126) 의 예를 들어서 임피던스 매칭 회로 (114) 와 같은 장치들에 접속되면, 예를 들어서 중간 노드와 같은 노드 (251) 에서의 전압 크기 Vx1, 전류 크기 Ix1 및 이 전압과 전류 간의 위상 Φx을 포함하는 예를 들어서 중간 복소 전압 및 전류와 같은 복소 전압 및 전류 Vx1, Ix1, 및 Φx1이 커패시터 (253) 의 커패시턴스, 커패시터 C5의 커패시턴스 및 입력부 (255) 에서 수신된 제 1 복소 전압 및 전류에 기초하여서 결정된다. 또한, 노드 (257) 에서의 복소 전압 및 전류 Vx2, Ix2, 및 Φx2이 상기 복소 전압 및 전류 Vx1, Ix1, 및 Φx1 및 인덕터 L3의 인덕턴스에 기초하여서 결정된다. 복소 전압 및 전류 Vx2, Ix2, 및 Φx2은 전압 크기 Vx2, 전류 크기 Ix2 및 전압과 전류 간의 위상 Φx2을 포함한다. 27 MHz RF 생성기 및 50 MHz RF 생성기가 오프 상태에 있으면, 예를 들어서 비동작 상태이거나 전력이 공급되지 않거나 임피던스 매칭 회로 (114) 로부터 분리되면, 복소 전압 및 전류 V2, I2, 및 Φ2 가 (도 1의) 임피던스 매칭 모델 (104) 의 예를 들어서 (도 1의) 모델 노드 N4m과 같은 출력부의 실례인 출력부 (259) 에서의 제 2 복소 전압 및 전류로 결정된다. 복소 전압 및 전류 V2, I2, 및 Φ2는 복소 전압 및 전류 Vx2, Ix2, 및 Φx2 및 인덕터 L2의 인덕턴스에 기초하여서 결정된다. 복소 전압 및 전류 V2, I2, 및 Φ2는 전압 크기 V2, 전류 크기 I2 및 전압과 전류 간의 위상 Φ2을 포함한다.
마찬가지로, 27 MHz RF 생성기가 온 상태에 있고 2 MHz RF 생성기 및 60 MHz RF 생성기가 오프 상태에 있으면, 출력부 (259) 에서의 복소 전압 및 전류 V27, I27, 및 Φ27이 노드 (261) 에서 수신된 복소 전압 및 전류 및 인덕터 LPF2, 커패시터 C3, 커패시터 C4 및 인덕터 L2의 특성치들에 기초하여서 결정된다. 복소 전압 및 전류 V27, I27, 및 Φ27은 전압 크기 V27, 전류 크기 I27 및 전압과 전류 간의 위상 Φ27을 포함한다. 노드 (261) 에서 수신된 복소 전압 및 전류는 (도 1의) 노드 N5에서 측정된 복소 전압 및 전류와 동일하다. 2 MHz RF 생성기 및 27 MHz RF 생성기가 모두 온 상태에 있고 60 MHz RF 생성기가 오프 상태에 있으면, 복소 전압 및 전류 V2, I2 및 Φ2 및 복소 전압 및 전류 V27, I27, 및 Φ27이 제 2 복소 전압 및 전류의 실례이다. 또한, 마찬가지로, 2 MHz RF 생성기 및 27 MHz RF 생성기가 모두 오프 상태에 있고 60 MHz RF 생성기가 온 상태에 있으면, 출력부 (259) 에서의 복소 전압 및 전류 V60, I60 및 Φ60이 노드 (265) 에서 수신된 복소 전압 및 전류 및 인덕터 LPF1, 커패시터 C1, 커패시터 C2, 인덕터 L4, 커패시터 (269) 및 인덕터 L1의 특성치들에 기초하여서 결정된다. 복소 전압 및 전류 V60, I60 및 Φ60은 전압 크기 V60, 전류 크기 I60 및 전압과 전류 간의 위상 Φ60을 포함한다. 2 MHz RF 생성기 및 27 MHz RF 생성기 및 60 MHz RF 생성기 모두가 온 상태에 있으면, 복소 전압 및 전류 V2, I2 및 Φ2 및 복소 전압 및 전류 V27, I27, 및 Φ27 및 복소 전압 및 전류 V60, I60 및 Φ60이 제 2 복소 전압 및 전류의 실례이다.
동작 (117) 에서, (도 1의) RF 전송 모델 (161) 이 (도 1의) RF 전송 라인 (113) 의 전기적 구성 요소들에 기초하여서 생성된다. 예를 들어서, RF 전송 라인 (113) 의 전기적 구성 요소들 간의 접속 사항 및 RF 전송 라인 (113) 의 전기적 구성 요소들의 특성치들이 호스트 시스템 (130) 에 접속된 입력 장치를 통해서 사용자에 의해서 호스트 시스템 (130) 의 프로세서에 제공된다. 프로세서는 이 접속 사항 및 특성치들을 수신하면, RF 전송 라인 (113) 의 전기적 구성 요소들의 특성치들과 동일한 특성치들을 생성하고 RF 전송 라인 (113) 의 전기적 구성 요소들 간의 접속 사항과 동일한 접속 사항들을 생성한다.
동작 (119) 에서, 제 2 복소 전압 및 전류는 RF 전송 모델 (161) 의 입력부로부터 RF 전송 모델 부분 (173) 의 하나 이상의 요소들을 통해서 RF 전송 모델 부분 (173) 의 예를 들어서 (도 1의) 모델 노드 N1m과 같은 출력부로 프로파게이팅되며 이로써 이 RF 전송 모델 부분 (173) 의 출력부에서의 제 3 복소 전압 및 전류가 결정된다. 예를 들어서, 도 5b를 참조하면, 2 MHz RF 생성기가 온 상태에 있고 27 MHz RF 생성기 및 60 MHz RF 생성기가 오프 상태에 있으면, 예를 들어서 중간 노드와 같은 노드 (293) 에서의 예를 들어서 중간 복소 전압 및 전류와 같은 복소 전압 및 전류 Vx4, Ix4 및 Φx4가 인덕터 Ltunel의 인덕턴스, 커패시터 Ctunnel의 캐패시턴스 및 제 2 복소 전압 및 전류의 실례인 (도 3b의) 복소 전압 및 전류 V2, I2 및 Φ2에 기초하여서 결정된다. Ltunnel은 RF 터널의 컴퓨터 생성 모델의 인덕턴스이며 Ctunnel은 RF 터널 모델의 커패시턴스이다. 또한, 터널 및 스트랩 모델 (210) 의 출력부 (297) 에서의 복소 전압 및 전류 V21, I21 및 Φ21이 복소 전압 및 전류 Vx4, Ix4 및 Φx4 및 인덕터 Lstrap의 인덕턴스에 기초하여서 결정된다. 출력부 (297) 는 (도 1의) 부분 (173) 의 예를 들어서 (도 1의) 모델 노드 N1m과 같은 출력부의 실례이다. Lstrap은 RF 스트랩의 컴퓨터 생성 모델의 인덕턴스이다. 2 MHz RF 생성기가 온 상태에 있고 27 MHz RF 생성기 및 60 MHz RF 생성기가 오프 상태에 있으면, 복소 전압 및 전류 V21, I21 및 Φ21은 출력부 (297) 에서의 제 3 복소 전압 전류가 되는 것으로 결정된다.
마찬가지로, 27 MHz RF 생성기가 온 상태에 있고 2 MHz RF 생성기 및 60 MHz RF 생성기가 오프 상태에 있으면, 출력부 (297) 에서의 복소 전압 및 전류 V271, I271 및 Φ271은 출력부 (259) 에서의 (도 3b의) 복소 전압 및 전류 V27, I27 및 Φ27 및 인덕터 Ltunnel, 커패시터 Ctunnel 및 인덕터 Lstrap의 특성치들에 기초하여서 결정된다. 60 MHz RF 생성기가 오프 상태에 있고 2 MHz RF 생성기 및 27 MHz RF 생성기가 온 상태에 있으면, 복소 전압 및 전류 V21, I21 및 Φ21 및 복소 전압 및 전류 V271, I271 및 Φ271은 제 3 복소 전압 및 전류의 실례이다.
또한, 마찬가지로, 60 MHz RF 생성기가 온 상태에 있고 2 MHz RF 생성기 및 27 MHz RF 생성기가 오프 상태에 있으면, 출력부 (297) 에서의 복소 전압 및 전류 V601, I601 및 Φ601이 노드 (259) 에서 수신된 (도 3b의) 복소 전압 및 전류 V60, I60 및 Φ60 및 인덕터 Ltunnel, 커패시터 Ctunnel 및 인덕터 Lstrap의 특성치들에 기초하여서 결정된다. 2 MHz RF 생성기, 27 MHz RF 생성기 및 60 MHz RF 생성기가 모두 온 상태에 있으면, 복소 전압 및 전류 V21, I21 및 Φ21, 복소 전압 및 전류 V271, I271 및 Φ271 및 복소 전압 및 전류 V601, I601 및 Φ601이 제 3 복소 전압 및 전류의 실례이다. 이 방법 (102) 은 동작 (119) 이후에서 종결된다.
도 3a는 임피던스 매칭 회로 (122) 를 예시하는데 사용된 시스템 (123) 의 실시예의 블록도이다. 임피던스 매칭 회로 (122) 는 (도 1의) 임피던스 매칭 회로 (114) 의 실례이다. 임피던스 매칭 회로 (122) 는 전기적 구성 요소들 간의 직렬 접속 및/또는 전기적 구성 요소들 간의 병렬 접속을 포함한다.
도 3b는 임피던스 매칭 모델 (172) 의 실시예의 회로도이다. 임피던스 매칭 모델 (172) 는 (도 1의) 임피던스 매칭 모델 (104) 의 실례이다. 도시된 바와 같이, 임피던스 매칭 모델 (172) 은 커패시턴스 C1 내지 C9를 갖는 커패시터들 및 인덕턴스들 LPF1, LPF2, 및 L1 내지 L4을 갖는 인덕터들을 포함한다. 인덕터들 및/또는 커패시터들이 도 3b에서 서로 접속된 방식은 오직 실례일 뿐이다. 예를 들어서, 도 3b에 도시된 인덕터들 및/또는 커패시터들은 서로 직렬 및/또는 병렬로 접속될 수 있다. 또한, 몇몇 실시예들에서, 임피던스 매칭 모델 (172) 은 도 3b에서 도시된 커패시터들의 개수 및/또는 인덕터들의 개수와 상이한 개수의 커패시터들 및/또는 인덕터들을 포함할 수 있다.
도 4는 (도 1의) RF 전송 라인 (113) 의 실례인 RF 전송 라인 (181) 을 예시하는데 사용된 시스템 (178) 의 실시예의 도면이다. RF 전송 라인 (181) 은 예를 들어서 터널과 같은 실린더 (148) 를 포함한다. 실린더 (148) 의 중공에는 절연체 (189) 및 RF 로드 (142) 가 배치된다. 실린더 (148) 와 RF 로드 (142) 간의 결합은 (도 1의) RF 전송 라인 (113) 의 (도 1의) 부분 (169) 의 실례이다. RF 전송 라인 (181) 은 볼트들 B1,B2,B3,B4를 통해서 임피던스 매칭 회로 (114) 에 볼트 결합된다. 일 실시예에서, RF 전송 라인 (181) 은 임의의 개수의 볼트를 통해서 임피던스 매칭 회로 (114) 에 볼트 결합된다. 몇몇 실시예에서, 볼트 대신에 또는 볼트에 추가하여서, 접착제, 스크루 등과 같은 임의의 다른 형태의 부착 요소들이 사용되어서 RF 전송 라인 (181) 을 임피던스 매칭 회로 (114) 에 부착시킨다.
RF 전송 로드 (142) 는 임피던스 매칭 회로 (114) 의 출력부에 접속된다. 또한, RF 스푼 (spoon) 으로도 알려진 RF 스트랩 (144) 은 RF 로드 (142) 및 RF 로드 (199) 에 접속되며, 이 스트랩의 일부는 예를 들어서 실린더와 지지부 (146) 내에 위치한다. RF 로드 (199) 를 포함하는 지지부 (146) 는 (도 1의) 부분 (195) 의 실례이다. 일 실시예에서, 실린더 (148), RF 로드 (142), RF 스트랩 (144), 지지부 (146) 및 RF 로드 (199) 간의 결합은 (도 1의) RF 전송 라인 (113) 의 실례인 RF 전송 라인 (181) 을 형성한다. 지지부 (146) 는 플라즈마 챔버를 지지한다. 지지부 (146) 는 플라즈마 챔버의 ESC (177) 에 부착된다. RF 신호는 x MHz 생성기로부터 케이블 (150), 임피던스 매칭 회로 (114), RF 로드 (142), RF 스트랩 (144) 및 RF 로드 (199) 를 통해서 ESC (177) 에 제공된다.
일 실시예에서, ESC (177) 는 가열 요소 및 이의 상부에 있는 전극을 포함한다. 일 실시예에서, ESC (177) 는 가열 요소 및 하부 전극을 포함한다. 일 실시예에서, ESC (177) 는 하부 전극 및 이 하부 전극 내에 형성된 구멍들 내에 내장된 예를 들어서 코일 와이어 등과 같은 가열 요소를 포함한다. 몇몇 실시예들에서, 전극은 예를 들어서 구리, 알루미늄 등과 같은 금속으로 이루어진다. RF 전송 라인 (181) 은 RF 신호를 ESC (177) 의 하부 전극에 공급함이 주목될 필요가 있다.
도 5a는 (도 1의) RF 전송 라인 (113) 의 회로 모델 (176) 을 예시하는데 사용되는 시스템 (171) 의 실시예의 블록도이다. 예를 들어서, 회로 모델 (176) 은 인덕터들 및/또는 커패시터들, 인덕터들간의 접속 사항, 커패시터들 간의 접속 사항 및/또는 인덕터들과 커패시터들 간의 접속 사항을 포함한다. 이러한 접속 사항의 실례는 직렬 접속 및/또는 병렬 접속을 포함한다. 회로 모델 (176) 은 (도 1의) RF 전송 모델 (161) 의 실례이다.
도 5b는 (도 1의) RF 전송 모델 (161) 의 (도 1의) 부분 (173) 의 실례인 터널 및 스트랩 모델 (210) 을 예시하는데 사용되는 전기적 회로 (180) 의 실시예의 블록도이다. 전기적 회로 (180) 는 임피던스 매칭 모델 (172) 및 터널 및 스트랩 모델 (210) 을 포함한다. 터널 및 스트랩 모델 (210) 은 인덕터들 Ltunnel 및 Lstrap 및 커패시터 Ctunnel을 포함한다. 인덕터 Ltunnel은 (도 4의) 실린더 (148) 및 RF 로드 (142) 의 인덕턴스를 나타내며, 커패시터 Ctunnel은 실린더 (148) 및 RF 로드 (142) 의 커패시턴스를 나타낸다. 또한, 인덕터 Lstrap는 (도 4의) RF 스트랩 (144) 의 인덕턴스를 나타낸다.
일 실시예에서, 터널 및 스트랩 모델 (210) 은 임의의 개수의 인덕터들 및/또는 커패시터들을 포함한다. 본 실시예에서, 터널 및 스트랩 모델 (210) 은 커패시터들 간의 직렬, 병렬 등과 같은 임의의 방식의 접속, 인터들들 간의 직렬, 병렬 등과 같은 임의의 방식의 접속, 및/또는 인덕터와 커패시터 간의 직렬, 병렬 등과 같은 임의의 방식의 접속을 포함한다.
도 5c는 (도 1의) RF 전송 모델 (161) 의 (도 1의) 부분 (173) 의 실례인 터널 및 스트랩 모델 (302) 을 예시하는데 사용되는 전기적 회로 (300) 의 실시예의 블록도이다. 터널 및 스트랩 모델 (302) 은 출력부 (259) 를 통해서 임피던스 매칭 모델 (172) 에 접속된다. 터널 및 스트랩 모델 (302) 은 인덕턴스 20 나노헨리 (nH) 를 갖는 인덕터들 및 커패시턴스 15 피코패럿 (pF), 31 pF, 15.5 pF 및 18.5 pF를 갖는 커패시터들을 포함한다. 터널 및 스트랩 모델 (302) 은 (도 1의) ESC (177) 에 접속된 RF 실린더에 노드 (304) 를 통해서 접속된다. RF 실린더는 (도 1의) 부분 (195) 의 실례이다.
몇몇 실시예들에서, 터널 및 스트랩 모델 (302) 의 인덕터들 및 커패시터들은 다른 값들을 가질 수 있다. 예를 들어서, 20 nH 인덕터들은 15 내지 20 nH 간 또는 20 내지 25 nH 간의 범위의 인덕턴스를 가질 수 있다. 다른 실례에서, 터널 및 스트랩 모델 (302) 의 인덕터들 중 2 개 이상은 상이한 인덕턴스를 가질 수 있다. 또 다른 실례에서, 15 pF 커패시터는 8 pF 내지 25 pF 간의 범위의 커패시턴스를 가지며, 31 pF 커패시터는 15 pF 내지 45 pF 간의 범위의 커패시턴스를 가지며, 15.5 pF 커패시터는 9 pF 내지 20 pF 간의 범위의 커패시턴스를 가지며, 18.5 pF 커패시터는 10 pF 내지 27 pF 간의 범위의 커패시턴스를 가질 수 있다.
다양한 실시예들에서, 임의의 개수의 인덕터들이 터널 및 스트랩 모델 (302) 내에 포함되고 임의의 개수의 커패시터들이 터널 및 스트랩 모델 (302) 내에 포함될 수 있다.
도 6은 인덕터 (313) 및 커패시터 (316) 의 결합인 실린더 및 ESC 모델 (312) 을 예시하는데 사용되는 전기적 회로 (310) 의 실시예의 도면이다. 실린더 및 ESC 모델 (312) 은 실린더 모델 및 (도 1의) ESC 모델 (125) 의 ESC 모델을 포함한다. 실린더 모델은 (도 1의) RF 전송 모델 (161) 의 (도 1의) 부분 (197) 의 실례이다. 실린더 및 ESC 모델 (312) 은 (도 1의) ESC 모델 (177) 과 부분 (195) 의 결합의 특성치와 유사한 특성치를 갖는다. 예를 들어서, 실린더 및 ESC 모델 (312) 는 ESC (177) 과 부분 (195) 의 결합의 저항치와 동일한 저항치를 갖는다. 다른 실례에서, 실린더 및 ESC 모델 (312) 은 ESC (177) 과 부분 (195) 의 결합의 인덕턴스와 동일한 인덕턴스를 갖는다. 또 다른 실례에서, 실린더 및 ESC 모델 (312) 는 ESC (177) 과 부분 (195) 의 결합의 커패시턴스와 동일한 커패시턴스를 갖는다. 또 다른 실례에서, 실린더 및 ESC 모델 (312) 는 ESC (177) 과 부분 (195) 의 결합의 인덕턴스, 저항치, 커패시턴스 또는 이들의 조합과 동일한 인덕턴스, 저항치, 커패시턴스 또는 이들의 조합을 갖는다.
실린더 및 ESC 모델 (312) 은 노드 (318) 를 통해서 터널 및 스트랩 모델 (302) 에 접속된다. 노드 (318) 는 (도 1의) 모델 노드 N1m의 실례이다.
몇몇 실시예들에서, 44 밀리헨리 (mH) 와는 다른 인덕턴스를 갖는 인덕터가 실린더 및 ESC 모델 (312) 에서 사용된다. 예를 들어서, 35 mH 내지 43.9 mH 간의 범위 또는 45.1 mH 내지 55 mH 간의 범위의 인덕턴스를 갖는 인덕터가 사용된다. 다양한 실시예들에서, 550 pF이 아닌 커패시턴스를 갖는 커패시터가 사용된다. 예를 들어서, 550 pF 커패시터 대신에, 250 내지 550 pF 간의 범위 또는 550 내지 600 pF 간의 범위를 갖는 커패시터가 사용된다.
(도 1의) 호스트 시스템 (130) 의 프로세서는 모델 (172), 터널 및 스트랩 모델 (302) 및 실린더 및 ESC 모델 (312) 간의 결합의 예를 들어서 총 임피던스 등과 같은 결합된 임피던스를 계산한다. 모델 노드 (318) 에서 결정된 이 결합된 임피던스 및 복소 전압 및 전류는 노드 N6m에서 복소 전압 및 임피던스를 계산하는데 있어서 호스트 시스템 (130) 의 프로세서에 의해서 입력들로서 사용된다. 실린더 및 ESC 모델 (312) 의 출력부는 모델 노드 N6m이다.
도 7은 변수를 결정하는데 사용되는 시스템 (200) 의 실시예의 블록도이다. 시스템 (200) 은 ESC (201) 를 더 포함하며 입력부 (285) 를 갖는 플라즈마 챔버 (135) 를 포함한다. 이 플라즈마 챔버 (135) 는 (도 1의) 플라즈마 챔버 (175) 의 실례이며 ESC (201) 는 (도 1의) ESC (177) 의 실례이다. ESC (201) 는 가열 요소 (198) 를 포함한다. 또한, ESC (201) 는 에지 링 (ER) (194) 에 의해서 둘러싸인다. 에지 링 (194) 은 가열 요소 (196) 를 포함한다. 일 실시예에서, 에지 링 (194) 은 ESC (201) 가 지지하는 처리 대상 (131) 의 에지 근방에서는 균일한 에칭 레이트 및 저감된 에칭 레이트 드리프트를 실현한다.
전원 (206) 이 가열 요소 (196) 를 가열하기 위해서 필터 (208) 를 통해서 가열 요소 (196) 에 전력을 제공하며, 전원 (204) 이 가열 요소 (198) 를 가열하기 위해서 필터 (202) 를 통해서 가열 요소 (198) 에 전력을 제공한다. 일 실시예에서, 단일 전원이 두 가열 요소 (196,198) 모두에 전력을 제공할 수 있다. 필터 (208) 는 전원 (206) 에서 수신된 전력 신호 중에서 사전 결정된 주파수들을 필터링 제거하며 필터 (202) 는 전원 (204) 에서 수신된 전력 신호 중에서 사전 결정된 주파수들을 필터링 제거한다.
가열 요소 (198) 는 ESC (198) 의 전극을 소망하는 온도로 유지하여서 플라즈마 챔버 (135) 내의 분위기를 소망하는 온도로 더 유지하도록 전원 (204) 으로부터 수신된 전력 신호에 의해서 가열된다. 또한, 가열 요소 (196) 는 ER (194) 을 소망하는 온도로 유지하여서 플라즈마 챔버 (135) 내의 분위기를 소망하는 온도로 더 유지하도록 전원 (206) 으로부터 수신된 전력 신호에 의해서 가열된다.
일 실시예에서, ER (194) 및 ESC (201) 는 임의의 개수의 가열 요소 및 임의의 타입의 가열 요소를 포함한다. 예를 들어서, ESC (201) 는 유도성 가열 요소 또는 금속 플레이트를 포함한다. 일 실시예에서, ESC (201) 및 ER (194) 각각은 플라즈마 챔버 (135) 를 소망하는 온도로 유지하도록 냉각수 등의 통로를 제공하는 예를 들어서 하나 이상의 튜브들와 같은 하나 이상의 냉각 요소들을 포함한다.
일 실시예에서, 시스템 (200) 은 임의의 개수의 필터들을 포함한다. 예를 들어서, 전원들 (204,206) 은 단일 필터를 통해서 ESC (201) 및 ER (194) 에 접속된다.
도 8a는 변수 정확도를 개선하기 위한 (도 7의) 필터들 (202,208) 의 모델을 예시하는데 사용되는 시스템 (217) 의 실시예의 도면이다. 시스템 (217) 은 실린더 모델 (211) 을 통해서 펄터들 (202,208) 의 인덕터들 및/또는 커패시터들 및 이들 간의 접속 사항을 포함하는 모델 (216) 에 접속되는 터널 및 스트랩 모델 (210) 을 포함한다. 모델 (216) 은 (도 1의) 저장 하드웨어 유닛 (162) 및/또는 다른 저장 하드웨어 유닛 내에 저장된다. 모델 (216) 의 커패시터들 및/또는 인덕터들은 예를 들어서 병렬 방식, 직결 방식 또는 이들의 조합으로 해서 서로 접속된다. 모델 (216) 은 펄터들 (202,208) 의 커패시턴스들 및/또는 인덕턴스들을 나타낸다.
또한, 시스템 (217) 은 (도 4의) RF 로드 (199) 및 (도 4의) 지지부 (146) 의 컴퓨터 생성 모델인 실린더 모델 (211) 을 포함한다. 실린더 모델 (211) 은 RF 로드 (199) 및 지지부 (146) 의 전기적 구성 요소들의 특성치와 유사한 특성치를 갖는다. 실린더 모델 (211) 은 하나 이상의 커패시터들, 하나 이상의 인덕터들, 인덕터들 간의 접속 사항, 커패시터들 간의 접속 사항 및/또는 커패시터들과 인덕터들 간의 결합에서의 접속 사항들을 포함한다.
(도 1의) 호스트 프로세서 (130) 의 프로세서는 모델 (216), 터널 및 스트랩 모델 (210) 및 실린더 모델 (211) 의 예를 들어서 총 임피던스와 같은 결합된 임피던스를 계산한다. 결합된 임피던스는 노드 N2m에서의 복소 전압 및 전류를 제공한다. 노드 N2m에서의 이 변수를 결정할 시에 모델 (216) 및 터널 및 스트랩 모델 (214) 을 포함시키면, 정확도가 개선된다. 모델 (216) 의 출력부는 모델 노드 N2m이다.
도 8b는 변수 정확도를 개선하기 위한 (도 7의) 필터들 (202,208) 의 모델을 예시하는데 사용되는 시스템 (219) 의 실시예의 도면이다. 시스템 (219) 은 터널 및 스트랩 모델 (210) 및 이 터널 및 스트랩 모델 (210) 에 병렬로 접속된 모델 (218) 을 포함한다. 모델 (218) 은 (도 8a의) 모델 (216) 의 실례이다. 모델 (218) 은 필터들 (202,208) 의 결합된 인덕턴스를 나타내는 인덕터 Lfilter 를 포함한다. 모델 (218) 은 필터들 (202,208) 의 결합된 캐패시턴스를 나타내는 커패시터 Cfilter 를 더 포함한다.
도 9는 RF 생성기 (220) 의 출력부 (231) 에서의 변수를 측정하기 위해서 전압 및 전류 프로브 (238) 를 사용하는 시스템 (236) 의 실시예의 블록도이다. 출력부 (231) 는 (도 1의) 노드 N5 또는 (도 1의) 노드 N3의 실례이다. RF 생성기 (220) 는 (도 1의) x MHz 생성기 또는 y MHz 생성기의 실례이다. 호스트 시스템 (130) 은 2 개 이상의 상태들을 갖는 디지털 펄싱 신호 (213) 를 생성하여서 DSP (디지털 신호 프로세서) (226) 에 제공한다. 일 실시예에서, 디지털 펄싱 신호 (213) 는 TTL (트랜지스터-트랜지스터 로직) 신호이다. 상태의 실례는 온 상태 및 오프 상태, 디지털 값 1을 갖는 상태 및 디지털 값 0을 갖는 상태, 하이 상태 및 로우 상태 등을 포함한다.
다른 실시예들에서, 호스트 시스템 (130) 대신에, 예를 들어서 수정 발진기 등과 같은 클록 발진기가 사용되어서 아날로그 클록 신호를 생성하고, 이 아날로그 클록 신호는 아날로그 대 디지털 변환기에 의해서 디지털 펄싱 신호 (213) 와 유사한 디지털 신호로 변환된다.
디지털 펄싱 신호 (213) 는 DSP (226) 에 전송된다. DSP (226) 는 디지털 펄싱 신호 (213) 를 수신하고 디지털 펄싱 신호 (213) 의 상태를 식별한다. 예를 들어서, DSP (226) 는 디지털 펄싱 신호 (213) 가 기간들의 제 1 세트 동안에 예를 들어서 1 값, 하이 상태 크기 등의 제 1 크기를 가지며 기간들의 제 2 세트 동안에 예를 들어서 0 값, 로우 상태 크기 등의 제 2 크기를 갖는다고 판정한다. DSP (226) 는 디지털 펄싱 신호 (213) 가 기간들의 제 1 세트 동안에 상태 S1을 갖고 기간들의 제 2 세트 동안에 상태 S0을 갖는다고 판정한다. 이러한 상태 S0의 실례는 로우 상태, 0 값을 갖는 상태 및 오프 상태를 포함한다. 이러한 상태 S1의 실례는 하이 상태, 1 값을 갖는 상태 및 온 상태를 포함한다. 또 다른 실례에서, DSP (226) 는 상기 기간들의 제 1 세트 동안에 디지털 펄싱 신호 (213) 의 크기가 사전 저장된 값보다 큰지를 판정하고 상기 기간들의 제 2 세트 동안에 디지털 펄싱 신호 (213) 의 크기가 사전 저장된 값보다 크지 않는지를 판정하도록 상기 디지털 펄싱 신호 (213) 의 크기를 사전 저장된 값과 비교한다. 클록 발진기가 사용된 실시예에서, DSP (226) 는 이 클록 발진기로부터 아날로그 클록 신호를 수신하고 이 아날로그 신호를 디지털 형태로 변환하여서 두 상태 S0 및 S1를 식별한다.
상태가 S1로서 식별되면, DSP (226) 는 전력 값 P1 및/또는 주파수 값 F1를 파라미터 제어부 (222) 에 제공한다. 또한, 상태가 S0로서 식별되면, DSP (226) 는 전력 값 P0 및/또는 주파수 값 F0를 파라미터 제어부 (224) 에 제공한다. 주파수를 튜닝하는데 사용되는 파라미터 제어부의 실례는 자동 주파수 튜너 (AFT) 이다.
파라미터 제어부 (222), 파라미터 제어부 (224) 및 DSP (226) 는 제어 시스템 (187) 의 일부분들이다. 예를 들어서, 파라미터 제어부 (222) 및 파라미터 제어부 (224) 는 DSP (226) 에 의해서 실행되는 컴퓨터 프로그램의 일부부들인 예를 들어서 튜닝 루프 등과 같은 로직 블록들이다. 몇몇 실시예들에서, 컴퓨터 프로그램은 예를 들어서 자장 하드웨어 유닛과 같은 비일시적 컴퓨터 판독 가능한 매체 내에서 실현된다.
일 실시예에서, 예를 들어서 하드웨어 제어기, ASIC, PLD 등과 같은 제어기가 파마미터 제어부 대신에 사용된다. 예를 들어서, 하드웨어 제어기가 파라미터 제어부 (222) 대신에 사용되고 다른 하드웨어 제어기가 파라미터 제어부 (224) 대신에 사용된다.
파라미터 제어부 (222) 는 전력 값 P1 및/또는 주파수 값 F1을 수신하면, 이 값들을 DAS (drive and amplifier system) (232) 의 드라이버 (228) 에 제공한다. 드라이버의 실례는 전력 드라이버, 전류 드라이버, 전압 드라이버, 트랜지스터 등을 포함한다. 드라이버 (228) 는 전력 값 P1 및/또는 주파수 값 F1을 갖는 RF 신호를 생성하여서 이를 DAS (232) 의 증폭기 (230) 에 제공한다.
일 실시예에서, 드라이버 (228) 는 전력 값 P1의 함수인 구동 전력 값 및/또는 주파수 값 F1의 함수인 구동 주파수 값을 갖는 RF 신호를 생성한다. 예를 들어서, 구동 전력 값은 전력 값 P1의 예를 들어서 1 내지 5 와트 등의 수 와트 내에 있으며 구동 주파수 값은 주파수 값 F1의 예를 들어서 1 내지 5 헤르쯔 등의 수 헤르쯔 내에 있다.
증폭기 (230) 는 전력 값 P1 및/또는 주파수 값 F1을 갖는 RF 신호를 증폭하여서 드라이버 (228) 로부터 수신된 RF 신호에 대응하는 RF 신호 (215) 를 생성한다. 예를 들어서, RF 신호 (215) 는 전력 값 P1의 양보다 높은 전력량을 갖는다. 다른 실례로서, RF 신호 (215) 는 전력 값 P1의 양와 동일한 전력량을 갖는다. RF 신호 (215) 가 케이블 (217) 및 임피던스 매칭 회로 (114) 를 통해서 (도 1의) ESC (177) 에 전달된다.
케이블 (217) 은 (도 1의) 케이블 (150) 또는 케이블 (152) 의 실례이다. 예를 들어서, RF 생성기 (220) 가 (도 1의) x MHz RF 생성기의 실례이면, 케이블 (217) 은 케이블 (150) 의 실례이며, RF 생성기 (220) 가 (도 1의) y MHz RF 생성기의 실례이면, 케이블 (217) 은 케이블 (152) 의 실례이다.
전력 값 P1 및/또는 주파수 값 F1이 파라미터 제어부 (222) 에 의해서 DAS (232) 에 제공되고 RF 신호 (215) 가 생성되면, 전압 및 전류 프로브 (238) 는 케이블 (217) 에 접속된 출력부 (231) 에서 변수 값들을 측정한다. 전압 및 전류 프로브 (238) 는 (도 1의) 전압 및 전류 프로브 (110) 또는 전압 및 전류 프로브 (111) 의 실례이다. 전압 및 전류 프로브 (238) 는 호스트 시스템 (130) 가 본 명세서에서 기술된 방법 (102) (도 3) 및 방법들 (340,351,397) (도 13, 도 15 및 도 17) 을 실행하도록 이 변수의 값들을 통신 장치 (233) 를 통해서 호스트 시스템 (130) 에 전송한다. 통신 장치 (233) 는 (도 1의) 통신 장치 (185 또는 189) 의 실례이다. 통신 장치 (233) 는 데이터를 전압 및 전류 프로브 (238) 에서 호스트 시스템 (130) 에 전달하기 위해서 예를 들어서 이더넷, EtherCAT, USB, 직렬 전달, 병렬 전달, 패킷화, 디패킷화 (depacketization) 등과 같은 프로토콜을 사용한다. 다양한 실시예들에서, 호스트 시스템 (130) 은 통신 장치 (233) 가 사용하는 프로토콜을 사용하는 통신 장치를 포함한다. 예를 들어서, 통신 장치 (233) 가 패킷화를 사용하면, 호스트 시스템 (130) 의 통신 장치도 패킷화를 사용한다. 다른 실례로서, 통신 장치 (233) 가 직렬 전달 프로토콜을 사용하면, 호스트 시스템 (130) 의 통신 장치도 직렬 전달 프로토콜을 사용한다.
마찬가지로, 파라미터 제어부 (224) 가 전력 값 P0 및/또는 주파수 값 F0을 수신하면 파라미터 제어부 (224) 는 이들을 드라이버 (228) 에 제공한다. 드라이버 (228) 는 전력 값 P0 및/또는 주파수 값 F0을 갖는 RF 신호를 생성하여서 이를 증폭기 (230) 에 제공한다.
일 실시예에서, 드라이버 (228) 는 전력 값 P0의 함수인 구동 전력 값 및/또는 주파수 값 F0의 함수인 구동 주파수 값을 갖는 RF 신호를 생성한다. 예를 들어서, 구동 전력 값은 전력 값 P0의 예를 들어서 1 내지 5 와트 등의 수 와트 내에 있으며 구동 주파수 값은 주파수 값 F0의 예를 들어서 1 내지 5 헤르쯔 등의 수 헤르쯔 내에 있다.
증폭기 (230) 는 전력 값 P0 및/또는 주파수 값 F0을 갖는 RF 신호를 증폭하여서 드라이버 (228) 로부터 수신된 RF 신호에 대응하는 RF 신호 (221) 를 생성한다. 예를 들어서, RF 신호 (221) 는 전력 값 P0의 양보다 높은 전력량을 갖는다. 다른 실례로서, RF 신호 (221) 는 전력 값 P0의 양와 동일한 전력량을 갖는다. RF 신호 (221) 가 케이블 (217) 및 임피던스 매칭 회로 (114) 를 통해서 (도 2의) 알려진 부하 (112) 에 전달된다.
전력 값 P0 및/또는 주파수 값 F0이 파라미터 제어부 (222) 에 의해서 DAS (232) 에 제공되고 RF 신호 (221) 가 생성되면, 전압 및 전류 프로브 (238) 는 출력부 (231) 에서 변수 값들을 측정한다. 전압 및 전류 프로브 (238) 는 호스트 시스템 (130) 가 본 명세서에서 기술된 방법 (102) (도 3) 및 방법들 (340,351,397) (도 13, 도 15 및 도 17) 을 실행하도록 이 변수의 값들을 호스트 시스템 (130) 에 전송한다.
일 실시예에서, 전압 및 전류 프로브 (238) 는 DSP (226) 로부터 분리된다. 몇몇 실시예들에서, 전압 및 전류 프로브 (238) 는 DSP (226) 에 접속된다. 상태 S1 동안에 생성된 RF 신호 (215) 및 상태 S0 동안에 생성된 RF 신호 (221) 는 결합된 RF 신호의 일부분들이다. 예컨대, RF 신호 (215) 는 결합된 RF 신호의 다른 부분인 RF 신호 (221) 보다 놓은 전력량을 갖는 결합된 RF 신호의 일부분이다.
도 10은 전압 및 전류 프로브 (238) 및 통신 장치 (233) 가 RF 생성기 (220) 외부에 위치한 시스템 (250) 의 실시예의 블록도이다. 도 1에서, 전압 및 전류 프로브 (110) 는 x MHz RF 생성기의 출력부에서의 변수를 측정하기 위해서 x MHz RF 생성기 내에 위치한다. 전압 및 전류 프로브 (238) 는 RF 생성기 (220) 의 출력부 (231) 에서의 변수를 측정하기 위해서 RF 생성기 (220) 외부에 위치한다. 전압 및 전류 프로브 (238) 는 RF 생성기 (220) 의 출력부 (231) 에 연관, 예를 들어서 접속된다.
도 11은 도 1의 시스템 (126) 을 사용하여서 결정된 변수의 값들이 사용되는 시스템 (128) 의 실시예의 블록도이다. 시스템 (128) 은 m MHz RF 생성기, n MHz RF 생성기, 임피던스 매칭 회로 (115), RF 전송 라인 (287) 및 플라즈마 챔버 (134) 를 포함한다. 다양한 실시예들에서, 플라즈마 챔버 (134) 는 플라즈마 챔버 (175) 와 유사하다.
도 2의 x MHz 생성기는 m MHz RF 생성기와 유사하고, 도 2의 y MHz 생성기는 n MHz RF 생성기와 유사한다. 예를 들어서, x MHz는 m MHz와 동일하며, y MHz는 n MHz와 동일하다. 다른 실례로서, x MHz 생성기 및 m MHz RF 생성기는 서로 유사한 주파수들을 가지며, y MHz 생성기 및 n MHz RF 생성기는 서로 유사한 주파수들을 갖는다. 유사한 주파수들의 실례는 x MHz가 m HHz 주파수의 예를 들어서 kHz 또는 Hz 내의 윈도우 내에 있는 경우이다. 몇몇 실시예들에서, 도 2의 x MHz 생성기는 m MHz RF 생성기와 유사하지 않고, 도 2의 y MHz 생성기는 n MHz RF 생성기와 유사하지 않다.
다양한 실시예들에서, 상이한 타입의 센서가 x MHz RF 생성기 및 y MHz RF 생성기 각각에서 사용되기보다는 m MHz RF 생성기 및 n MHz RF 생성기 각각에서 사용된다. 예컨대, NIST 표준에 따르지 않는 센서가 m MHz RF 생성기에서 사용된다. 다른 실례로서, 오직 전압만을 측정하는 전압 센서가 m MHz RF 생성기에서 사용된다.
일 실시예에서, 임피던스 매칭 회로 (115) 는 (도 1의) 임피던스 매칭 회로 (114) 와 유사하다. 예를 들어서, 임피던스 매칭 회로 (114) 의 임피던스는 임피던스 매칭 회로 (115) 의 임피던스와 동일하다. 다른 실례에서, 임피던스 매칭 회로 (115) 의 임피던스는 임피던스 매칭 회로 (114) 의 임피던스의 예를 들어서 10 내지 20 퍼센트와 같은 윈도우 내에 있다. 몇몇 실시예들에서, 임피던스 매칭 회로 (114) 는 임피던스 매칭 회로 (115) 와 상이하다.
임피던스 매칭 회로 (115) 는 임피던스 매칭 회로 (115) 에 접속된 부하의 임피던스를 임피던스 매칭 회로 (115) 에 접속된 소스의 임피던스와 매칭시키기 위해서 예를 들어서 인덕터, 커패시터 등과 같은 전기적 구성 요소들을 포함한다. 예를 들어서, 임피던스 매칭 회로 (114) 는 플라즈마 챔버 (134) 및 RF 전송 라인 (287) 간의 결합, 임피던스 매칭 회로 (114) 에 접속된 필터 등과 같은 부하의 임피던스를 예를 들어서 m MHz RF 생성기, n MHz RF 생성기 및 m MHz RF 생성기와 n MHz RF 생성기를 임피던스 매칭 회로 (114) 에 접속시키는 케이블 간의 결합, 임피던스 매칭 회로 (114) 에 접속된 필터 등과 같은, 임피던스 매칭 회로 (114) 에 접속된 소스의 임피던스와 매칭시킨다. 다른 실례에서, 임피던스 매칭 회로 (114) 는 (도 1의) 플라즈마 챔버 (175) 가 위치하는 측 상의 임피던스 매칭 회로 (114) 에 접속된 예를 들어서 구성 요소들 등과 같은 부하의 임피던스를 n MHz RF 생성기 및 m MHz RF 생성기가 위치하는 측 상의 임피던스 매칭 회로 (114) 에 접속된 예를 들어서 구성 요소들 등과 같은 소스의 임피던스와 매칭시킨다.
일 실시예에서, RF 전송 라인 (287) 은 (도 1의) RF 전송 라인 (113) 과 유사하다. 예를 들어서, RF 전송 라인 (287) 의 임피던스는 RF 전송 라인 (113) 의 임피던스와 동일하다. 다른 실례에서, RF 전송 라인 (287) 의 임피던스는 RF 전송 라인 (113) 의 임피던스의 예를 들어서 10 내지 20 퍼센트와 같은 윈도우 내에 있다. 다양한 실시예들에서, RF 전송 라인 (287) 은 RF 전송 라인 (113) 과 상이하다.
플라즈마 챔버 (134) 는 정전 척 (ESC) (192), 상부 전극 (264) 및 이 상부 전극 (264) 을 둘러싸는 상부 유전체 링, 상부 유전체 링을 둘러싸는 상부 전극 확장부, ESC (192) 의 하부 전극을 둘러싸는 하부 유전체 링, 하부 유전체 링을 둘러싸는 하부 전극 확장부, 상부 플라즈마 제거 (exclusion) 구역 (PEZ) 링, 하부 PEZ 링 등과 같은 다른 부분들 (미도시) 을 포함한다. 상부 전극 (264) 은 ESC (192) 에 대향하면서 마주보고 있다. 예를 들어서 반도체 웨이퍼 등과 같은 처리 대상 (262) 이 ESC (192) 의 상부 표면 (263) 상에서 지지된다. ESC (192) 의 하부 전극 및 상부 전극 (264) 각각은 예를 들어서 알루미늄, 알루미늄 합금, 구리 등과 같은 금속으로 구성된다.
일 실시예에서, 상부 전극 (264) 은 중앙 가스 피드 (feed) (미도시) 에 연통된 구멍을 포함한다. 중앙 가스 피드는 가스 공급원 (미도시) 으로부터 하나 이상의 프로세스 가스를 수용한다. 상부 전극 (264) 은 접지된다. ESC (192) 는 임피던스 매칭 회로 (115) 를 통해서 m MHz RF 생성기 및 n MHz RF 생성기에 접속된다.
프로세스 가스가 상부 전극 (264) 과 ESC (192) 간에 공급되고 m MHz RF 생성기 및/또는 n MHz RF 생성기가 임피던스 매칭 회로 (115) 를 통해서 ESC (192) 로 전력을 공급하면, 프로세스 가스는 점화되어서 플라즈마 챔버 (134) 내에서 플라즈마가 생성된다.
시스템 (128) 에서는 임피던스 매칭 회로 (115) 의 출력부 (283) 에서의 변수를 측정하기 위한 예를 들어서 계측 툴, 전압 및 전류 프로브, 전압 프로브 등과 같은 프로브가 RF 전송 라인 (287) 상의 일 지점에서 또는 ESC (192) 에서 존재하지 않는다. 모델 노드들 N1m, N2m, N4m 및 N6m에서의 변수의 값들은 요구될 때에 시스템 (128) 이 동작하고 있는지의 여부를 판정하는데 사용된다.
다양한 실시예들에서, 시스템 (128) 에서는, ESC (192) 에서 웨이퍼 바이어스를 측정하는데 사용되는 예를 들어서 인시츄 직류 프로브 픽업 (pick-up) 핀과 같은 웨이퍼 바이어스 센서 및 이와 관련된 하드웨어가 존재하지 않는다. 이렇게 웨이퍼 바이어스 센서 및 이와 관련된 하드웨어를 사용하지 않으면 비용이 절감된다.
또한, 일 실시예에서, 시스템 (128) 은 임피던스 매칭 회로에 접속된 임의의 개수의 RF 생성기들을 포함한다.
도 12a, 도 12b 및 도 12c는 전압 프로브를 사용하여서 (도 1의) 시스템 (126) 내의 (도 1의) 임피던스 매칭 회로 (114) 의 예를 들어서 노드 N4와 같은 출력부에서 측정된 예를 들어서 RMS 전압, 피크 전압 등과 같은 전압과 (도 2의) 방법 (102) 을 사용하여서 결정된, 예를 들어서 노드 N4m과 같은 대응하는 모델 노드 출력부에서의 예를 들어서 피크 전압 등과 같은 전압 간의 상관 관계를 설명하는 그래프들 (268,272,275) 의 실시예들의 도면들이다. 또한, 도 12a, 도 12b 및 도 12c는 전류 프로브를 사용하여서 (도 1의) 시스템 (126) 내의 예를 들어서 노드 N4와 같은 출력부에서 측정된 예를 들어서 RMS 전류 등과 같은 전류와 (도 2의) 방법 (102) 을 사용하여서 결정된, 예를 들어서 노드 N4m과 같은 대응하는 모델 노드 출력부에서의 예를 들어서 RMS 전류 등과 같은 전류 간의 상관 관계를 설명하는 그래프들 (270,274,277) 의 실시예들의 도면들이다.
방법 (102) 을 사용하여서 결정된 전압은 각 그래프들 (268,272,275) 에서 x 축 상에서 플롯팅되며 전압 프로브를 사용하여서 측정된 전압은 각 그래프들 (268,272,275) 에서 y 축 상에서 플롯팅된다. 마찬가지로, 방법 (102) 을 사용하여서 결정된 전류는 각 그래프들 (270,274,277) 에서 x 축 상에서 플롯팅되며 전류 프로브를 사용하여서 측정된 전류는 각 그래프들 (270,274,277) 에서 y 축 상에서 플롯팅된다.
x MHz RF 생성기가 온 상태에 있고 y MHz RF 생성기 및 예를 들어서 60 MHz RF 생성기와 같은 z MHz RF 생성기가 오프 상태에 있을 때에, 전압들은 그래프 (268) 에서 플롯팅된다. 또한, y MHz RF 생성기가 온 상태에 있고 x MHz RF 생성기 및 z MHz RF 생성기가 오프 상태에 있을 때에, 전압들은 그래프 (272) 에서 플롯팅된다. 또한, z MHz RF 생성기가 온 상태에 있고 x MHz RF 생성기 및 y MHz RF 생성기가 오프 상태에 있을 때에, 전압들은 그래프 (275) 에서 플롯팅된다.
마찬가지로, x MHz RF 생성기가 온 상태에 있고 y MHz RF 생성기 및 z MHz RF 생성기가 오프 상태에 있을 때에, 전류들은 그래프 (270) 에서 플롯팅된다. y MHz RF 생성기가 온 상태에 있고 x MHz RF 생성기 및 z MHz RF 생성기가 오프 상태에 있을 때에, 전류들은 그래프 (274) 에서 플롯팅된다. 또한, z MHz RF 생성기가 온 상태에 있고 x MHz RF 생성기 및 y MHz RF 생성기가 오프 상태에 있을 때에, 전류들은 그래프 (277) 에서 플롯팅된다.
각 그래프 (268,272,275) 에서 볼 수 있는 바와 같이, 그래프의 y 축 상에 플롯팅된 전압과 그래프의 x 축 상에 플롯팅된 전압 간에는 대략적으로 선형 상관이 존재한다. 마찬가지로, 각 그래프 (270,274,277) 에서 볼 수 있는 바와 같이, 그래프의 y 축 상에 플롯팅된 전류와 그래프의 x 축 상에 플롯팅된 전류 간에는 대략적으로 선형 상관이 존재한다.
도 13은 (도 1의) 플라즈마 시스템 (126) 의 예를 들어서 모델 노드 N4m, 모델 노드 N1m, 모델 노드 N2m, 모델 노드 N6m 등과 같은 모델 노드에서의 웨이퍼 바이어스를 결정하기 위한 방법 (340) 의 실시예의 흐름도이다. 몇몇 실시예들에서, 웨이퍼 바이어스는 (도 1의) 플라즈마 챔버 (175) 내에서 생성된 플라즈마에 의해서 생성된 직류 전압이다. 이러한 실시예들에서, 웨이퍼 바이어스는 (도 1의) ESC (177) 의 예를 들어서 상부 표면 (183) 과 같은 표면 및/또는 (도 1의) 처리 대상 (131) 의 예를 들어서 상부 표면과 같은 표면 상에 존재한다.
모델 노드들 N1m 및 N2m은 (도 1의) RF 전송 모델 (161) 상에 존재하며, 모델 노드 N6m은 (도 1의) ESC 모델 (125) 상에 존재한다. 이 방법 (340) 은 (도 1의) 호스트 시스템 (130) 의 프로세서에 의해서 실행된다. 방법 (340) 에서, 동작 (106) 이 수행된다.
또한, 동작 (341) 에서, 예를 들어서, 임피던스 매칭 회로 (114), RF 전송 라인 (113), ESC (177), 이들의 조합 등과 같은 하나 이상의 장치들에 대응하는, 예를 들어서 (도 1의) 임피던스 매칭 모델 (104), RF 전송 모델 (161), ESC 모델 (125), 이들의 조합 등과 같은 하나 이상의 모델들이 생성된다. 예를 들어서, ESC 모델 (125) 이 (도 1의) ESC (177) 의 특성과 유사한 특성을 갖도록 생성된다.
동작 (343) 에서, 하나 이상의 모델들의 출력부에서 복소 전압 및 전류가 결정되도록 동작 (106) 에서 식별된 복소 전압 및 전류가 하나 이상의 모델들의 하나 이상의 요소들을 통해서 프로파게이팅된다. 예를 들어서, 제 2 복소 전압 및 전류가 제 1 복소 전압 및 전류에 기초하여서 결정된다. 다른 실례로서, 제 2 복소 전압 및 전류가 제 1 복소 전압 및 전류에 기초하여서 결정되고 제 3 복소 전압 및 전류가 제 2 복소 전압 및 전류에 기초하여서 결정된다. 또 다른 실례로서, 제 2 복소 전압 및 전류가 제 1 복소 전압 및 전류에 기초하여서 결정되고 제 3 복소 전압 및 전류가 제 2 복소 전압 및 전류에 기초하여서 결정되고, 모델 노드 N2m에서의 제 4 복소 전압 및 전류가 결정되도록 제 3 복소 전압 및 전류가 (도 1의) RF 전송 모델 (161) 의 부분 (197) 을 통해서 프로파게이팅된다. 본 실례에서, 제 4 복수 전압 및 전류는 제 3 복소 전압 및 전류를 부분 (197) 의 요소들의 임피던스들을 통해서 프로파게이팅시킴으로써 결정된다. 또 다른 실례에서, 하나 이상의 RF 생성기들의 하나 이상의 출력부들에서 측정된 복소 전압 및 전류를 RF 전송 모델 (161) 을 따라서 예를 들어서 모델 노드 N1m, 모델 노드 N2m 등과 같은 전기적 노드로 트랜스레이션 (translation) 하도록 호스트 시스템 (130) 의 프로세서에 의해서 실행되는 대수 전달 함수 (algebraic transfer function) 를 RF 전송 모델 (161) 이 제공한다.
동작 (343) 의 다른 실례로서, 제 2 복소 전압 및 전류가 제 1 복소 전압 및 전류에 기초하여서 결정되고 제 3 복소 전압 및 전류가 제 2 복소 전압 및 전류에 기초하여서 결정되고, 제 4 복소 전압 및 전류가 제 3 복소 전압 및 전류에 기초하여서 결정되고, 모델 노드 N6m에서의 제 5 복소 전압 및 전류가 결정되도록 제 4 복소 전압 및 전류가 ESC 모델 (125) 을 통해서 프로파게이팅된다. 본 실례에서, 제 5 복소 전압 및 전류는 ESC 모델 (125) 의 커패시터들, 인덕터들 등과 같은 요소들의 임피던스들을 통해서 제 4 복소 전압 및 전류를 프로파게이팅시킴으로써 결정된다.
동작 (342) 에서, 웨이퍼 바이어스는 하나 이상의 모델의 출력부에서 이 출력부에서의 복소 전압 및 전류의 전압 크기, 이 출력부에서의 복소 전압 및 전류의 전류 크기 및 이 출력부에서의 복소 전압 및 전류의 전력 크기에 기초하여서 결정된다. 예를 들어서, 웨이퍼 바이어스는 제 2 복소 전압 및 전류의 전압 크기, 제 2 복소 전압 및 전류의 전류 크기 및 제 2 복소 전압 및 전류의 전력 크기에 기초하여서 결정된다. 더 예시적으로 설명하자면, x MHz RF 생성기가 온 상태이고 y MHz RF 생성기가 오프 상태이고 y MHz RF 생성기가 오프 상태이면, (도 1의) 호스트 시스템 (130) 의 프로세서가 제 1 적 (product), 제 2 적, 제 3 적 및 상수의 합으로서 (도 1의) 모델 노드 N4m에서의 웨이퍼 바이어스를 결정한다. 이 예시에서, 제 1 적은 제 2 복소 전압 및 전류의 전압 크기와 제 1 계수 간의 적이며, 제 2 적은 제 2 복소 전압 및 전류의 전류 크기와 제 2 계수 간의 적이며, 제 3 적은 제 2 복소 전압 및 전류의 전력 크기의 제곱근 (squre root) 과 제 3 계수의 제곱근 간의 적이다.
실례로서, 전력 크기는 순방향 전력 및 반사된 전력 간의 차로서 호스트 시스템 (130) 의 프로세서에 의해서 결정된 전달된 전력의 크기이다. 순방향 전력은 (도 1의) 시스템 (126) 의 하나 이상의 RF 생성기들에 의해서 (도 1의) 플라즈마 챔버 (175) 에 공급되는 전력이다. 반사된 전력은 플라즈마 챔버 (175) 로부터 (도 1의) 시스템 (126) 의 하나 이상의 RF 생성기들로 향해서 역으로 반사된 전력이다. 실례로서, 복소 전압 및 전류의 전력 크기는 복소 전류 및 전압의 전류 크기와 복소 전압 및 전류의 전압 크기 간의 적으로서 호스트 시스템 (130) 의 프로세서에 의해서 결정된다. 또한, 웨이퍼 바이어스를 결정하는데 사용되는 계수 및 상수 각각은 양수 또는 음수이다. 웨이퍼 바이어스를 결정하는 다른 실례에서, x MHz RF 생성기가 온 상태이고 y MHz RF 생성기 및 z MHz RF 생성기가 오프 상태일 때에, 모델 노드에서의 웨이퍼 바이어스는 ax*Vx + bx*Ix + cx*sqrt (Px) + dx로서 표현되며, 여기서 "ax"는 제 1 계수이고, "bx" 는 제 2 계수이며, "dx" 는 상수이며, "Vx" 는 모델 노드에서의 복소 전압 및 전류의 전압 크기이며, "Ix" 는 모델 노드에서의 복수 전압 및 전류의 전류 크기이며, "Px" 는 모델 노드에서의 복소 전압 및 전류의 전력 크기이다. "sqrt" 는 제곱근 연산이며 이는 호스트 시스템 (130) 의 프로세서에 의해서 수행된다. 몇몇 실시예들에서, 전력 크기 Px는 전류 크기 Ix와 전력 크기 Vx의 적이다.
다양한 실시예들에서, 웨이퍼 바이어스를 결정하는데 사용되는 계수는 프로젝션 방법 (projection method) 에 기초하여서 (도 1의) 시스템 (130) 의 프로세서에 의해서 결정된다. 이 프로젝션 방법에서, 예를 들어서 웨이퍼 바이어스 핀들과 같은 웨이퍼 바이어스 센서가 제 1 회에 대해서 ESC (177) 의 예를 들어서 (도 1의) 상부 표면 (183) 과 같은 표면 상의 웨이퍼 바이어스를 측정한다. 또한, 프로젝션 방법에서, 전압 크기, 전류 크기 및 전력 크기는 RF 생성기의 출력부에서 측정된 복소 전압 및 전류에 기초하여서 플라즈마 시스템 (126) 내의 모델 노드에서 결정된다. 예를 들어서, 제 1 회에 대해서 (도 1의) 노드 N3에서 측정된 복소 전압 및 전류가 호스트 시스템 (130) 의 프로세서에 의해서 예를 들어서 모델 노드 N4m, 모델 노드 N1m, 모델 노드 N2m 또는 모델 노드 N6m과 같은 모델 노드로 프로파게이팅되며 이로써 제 1 회에 대해서 이 모델 노드에서 복소 전압 및 전류가 결정된다. 전압 크기 및 전류 크기가 제 1 회에 대해서 이 모델 노드에서의 복소 전압 및 전류로부터 호스트 시스템 (130) 의 프로세서에 의해서 추출된다. 또한, 전력 크기는 제 1 회에 대해서 이 전류 크기와 전압 크기의 적으로서 호스트 시스템 (130) 의 프로세서에 의해서 계산된다.
마찬가지로, 본 실례에서, 하나 이상의 추가 회수에 대해서 복소 전압 및 전류가 노드 N3에서 측정되며 이 측정된 복소 전압 및 전류는 하나 이상의 회수에 대해서 예를 들어서 모델 노드 N4m, 모델 노드 N1m, 모델 노드 N2m, 모델 노드 N6m 등과 같은 모델 노드에서의 복소 전압 및 전류가 결정되도록 프로파게이팅된다. 또한, 이 하나 이상의 추가 회수에 대해서, 전압 크기, 전류 크기 및 전력 크기가 상기 하나 이상의 추가 회수에 대해서 결정된 복소 전압 및 전류로부터 추출된다. 예를 들어서 PLS (partial least square), 선형 리그레션 (linear regression) 등과 같은 수학적 함수가 호스트 시스템 (130) 의 프로세서에 의해서 상기 제 1 회 및 하나 이상의 추가 회수에 대해서 획득된 상기 전압 크기, 전류 크기, 전력 크기 및 측정된 웨이퍼 바이어스에 대해서 적용되어서 상기 계수들 ax, bx, cx 및 상수 dx를 결정한다.
동작 (342) 의 다른 실례에서, y MHz RF 생성기가 온 상태이고 x MHz RF 생성기 및 z MHz RF 생성기가 오프 상태일 때에, 웨이퍼 바이어스는 ay*Vy + by*Iy + cy*sqrt (Py) + dy로서 계산되며, 여기서 "ay" 는 계수이며, "by" 는 계수이며, "dy" 는 상수이며, "Vy" 는 제 2 복소 전압 및 전류의 전압 크기이며, "Iy" 는 제 2 복수 전압 및 전류의 전류 크기이며, "Py" 는 제 2 복소 전압 및 전류의 전력 크기이다. 전력 크기 Py는 전류 크기 Iy와 전력 크기 Vy의 적이다. 동작 (342) 의 또 다른 실례에서, z MHz RF 생성기가 온 상태이고 x MHz RF 생성기 및 y MHz RF 생성기가 오프 상태일 때에, 웨이퍼 바이어스는 az*Vz + bz*Iz + cz*sqrt (Pz) + dz로서 결정되며, 여기서 "az" 는 계수이며, "bz" 는 계수이며, "dz" 는 상수이며, "Vz" 는 제 2 복소 전압 및 전류의 전압 크기이며, "Iz" 는 제 2 복수 전압 및 전류의 전류 크기이며, "Pz" 는 제 2 복소 전압 및 전류의 전력 크기이다. 전력 크기 Pz는 전류 크기 Iz와 전력 크기 Vz의 적이다.
동작 (342) 의 다른 실례에서, z MHz RF 생성기가 오프 상태이고 x MHz RF 생성기 및 y MHz RF 생성기가 온 상태일 때에, 웨이퍼 바이어스는 제 1 적, 제 2 적, 제 3 적, 제 4 적, 제 5 적, 제 6 적 및 상수의 합으로서 결정된다. 제 1 적은 제 1 계수와 전압 크기 Vx의 적이며, 제 2 적은 제 2 계수와 전류 크기 Ix의 적이며, 제 3 적은 제 3 계수와 전력 크기 Px의 제곱근 간의 적이며, 제 3 적은 제 4 계수와 전압 크기 Vy의 적이며, 제 5 적은 제 5 계수와 전류 크기 Iy의 적이며, 제 6 적은 제 6 계수와 전력 크기 Py의 제곱근 간의 적이다. z MHz RF 생성기가 오프 상태이고 x MHz RF 생성기 및 y MHz RF 생성기가 온 상태일 때에, 웨이퍼 바이어스는 axy*Vx + bxy*Ix + cxy*sqrt (Px) + dxy*Vy + exy*Iy + fxy*sqrt (Py) + gxy로서 표현되며, 여기서 "axy", "bxy", "cxy", "dxy", "exy", "fxy", "dxy", "exy", 및 "fxy" 는 계수들이며 "gxy" 는 상수이다.
동작 (342) 의 다른 실례에서, x MHz RF 생성기가 오프 상태이고 y MHz RF 생성기 및 z MHz RF 생성기가 온 상태일 때에, 웨이퍼 바이어스는 ayz*Vy + byz*Iy + cyz*sqrt (Py) + dyz*Vz + eyz*Iz + fyz*sqrt (Pz) + gyz로서 결정되며, 여기서 "ayz", "byz", "cyz", "dyz", "eyz", 및 "fyz" 는 계수들이며 "gyz" 는 상수이다. 동작 (342) 의 다른 실례에서, y MHz RF 생성기가 오프 상태이고 x MHz RF 생성기 및 z MHz RF 생성기가 온 상태일 때에, 웨이퍼 바이어스는 axz*Vx + bxz*Ix + cxz*sqrt (Px) + dxz*Vz + exz*Iz + fxz*sqrt (Pz) + gxz로서 결정되며, 여기서 "axz", "bxz", "cxz", "dxz", "exz", 및 "fxz" 는 계수들이며 gxz는 상수이다.
동작 (342) 의 다른 실례에서, z MHz RF 생성기가 온 상태이고 x MHz RF 생성기 및 y MHz RF 생성기도 모두 온 상태일 때에, 웨이퍼 바이어스는 제 1 적, 제 2 적, 제 3 적, 제 4 적, 제 5 적, 제 6 적, 제 7 적, 제 8 적, 제 9 적 및 상수의 합으로서 결정된다. 제 1 적은 제 1 계수와 전압 크기 Vx의 적이며, 제 2 적은 제 2 계수와 전류 크기 Ix의 적이며, 제 3 적은 제 3 계수와 전력 크기 Px의 제곱근 간의 적이며, 제 3 적은 제 4 계수와 전압 크기 Vy의 적이며, 제 5 적은 제 5 계수와 전류 크기 Iy의 적이며, 제 6 적은 제 6 계수와 전력 크기 Py의 제곱근 간의 적이며, 제 7 적은 제 7 계수와 전압 크기 Vz의 적이며, 제 8 적은 제 9 계수와 전류 크기 Iz의 적이며, 제 9 적은 제 9 계수와 전력 크기 Pz의 제곱근 간의 적이다. 이로써, x MHz RF 생성기, y MHz RF 생성기 및 z MHz RF 생성기 모두가 온 상태일 때에, 웨이퍼 바이어스는 axyz*Vx + bxyz*Ix + cxyz*sqrt (Px) + dxyz*Vy + exyz*Iy + fxyz*sqrt (Py) + gxyz*Vz + hxyz*Iz + ixyz*sqrt (Pz) + jxyz로서 결정되며, 여기서 "axyz", "bxyz", "cxyz", "dxyz", "exyz", "fxyz", "gxyz", "hxyz", 및 "ixyz" 는 계수이며, "jxyz" 는 상수이다.
하나 이상의 모델들의 출력부에서 웨이퍼 바이어스를 결정하는 다른 실례로서, 모델 노드 N1m에서의 웨이퍼 바이어스는 모델 노드 N1m에서 결정된 전류 크기 및 전압 크기에 기초하여서 호스트 시스템 (130) 의 프로세서에 의해서 결정된다. 더욱 예시적으로 설명하자면, 제 2 복소 전압 및 전류가 모델 노드 N1m에서의 복소 전압 및 전류가 결정되도록 (도 1의) 부분 (173) 을 따라서 프로파게이팅된다. 이 복소 전압 및 전류는 제 1 복소 전압 및 전류로부터 제 2 복소 전압 및 전류를 결정할 때의 방식과 동일한 방식으로 제 2 복소 전압 및 전류로부터 모델 노드 N1m에서 결정된다. 예를 들어서, 제 2 복소 전압 및 전류가 모델 노드 N1m에서의 복소 전압 및 전류가 결정되도록 (도 1의) 부분 (173) 의 요소들의 특성들에 기초하여서 부분 (173) 을 따라서 프로파게이팅된다.
웨이퍼 바이어스는 모델 노드 N1m에서 이 노드에서의 복소 전압 및 전류의 전압 크기, 이 노드에서의 복소 전압 및 전류의 전류 크기 및 이 노드에서의 복소 전압 및 전류의 전력 크기에 기초하여서 호스트 시스템 (130) 의 프로세서에 의해서 결정된다. 예를 들어서, 웨이퍼 바이어스는 제 2 복소 전압 및 전류로부터 모델 노드 N4m에서의 웨이퍼 바이어스를 결정하는 방식과 유사한 방식으로 모델 노드 N1m에서의 복소 전압 및 전류로부터 모델 노드 N1m에서 결정된다. 예시적으로 설명하자면, x MHz RF 생성기가 온 상태이고 y MHz RF 생성기가 오프 상태이고 y MHz RF 생성기가 오프 상태이면, (도 1의) 호스트 시스템 (130) 의 프로세서가 제 1 적 (product), 제 2 적, 제 3 적 및 상수의 합으로서 모델 노드 N1m에서의 웨이퍼 바이어스를 결정한다. 이 실례에서, 제 1 적은 모델 노드 N1m에서의 복소 전압 및 전류의 전압 크기와 제 1 계수 간의 적이며, 제 2 적은 모델 노드 N1m에서의 복소 전압 및 전류의 전류 크기와 제 2 계수 간의 적이며, 제 3 적은 모델 노드 N1m에서의 복소 전압 및 전류의 전력 크기의 제곱근 (squre root) 과 제 3 계수의 제곱근 간의 적이다. x MHz RF 생성기가 온 상태이고 y MHz RF 생성기가 오프 상태이고 y MHz RF 생성기가 오프 상태이면, 모델 노드 N1m에서의 웨이퍼 바이어스는 ax*Vx + bx*Ix + cx*sqrt (Px) + dx으로서 결정되며, 여기서 ax 는 제 1 계수이고, bx 는 제 2 계수이고, cx 는 제 3 계수이며, dx 는 상수이고, Vx 는 모델 노드 N1m에서의 복소 전압 및 전류의 전압 크기이며, Ix 는 모델 노드 N1m에서의 복소 전압 및 전류의 전류 크기이며, Px는 모델 노드 N1m에서의 복소 전압 및 전류의 전력 크기이다.
마찬가지로, 모델 노드 N1m에서의 복소 전압 및 전류에 기초하고, x MHz RF 생성기, y MHz RF 생성기 및 z MHz RF 생성기 모두가 온 상태일 때에, 웨이퍼 바이어스는 y*Vy + by*Iy + cy*sqrt (Py) + dy, az*Vz + bz*Iz + cz*sqrt (Pz) + dz, axy*Vx + bxy*Ix + cxy*sqrt (Px) + dxy*Vy + exy*Iy + fxy*sqrt (Py) + gxy, axz*Vx + bxz*Ix + cxz*sqrt (Px) + dxz*Vz + exz*Iz + fxz*sqrt (Pz) + gxz, ayz*Vy + byz*Iy + cyz*sqrt (Py) + dyz*Vz + eyz*Iz + fyz*sqrt (Pz) + gyz, and axyz*Vx + bxyz*Ix + cxyz*sqrt (Px) + dxyz*Vy + exyz*Iy + fxyz*sqrt (Py) + gxyz*Vz + hxyz*Iz + ixyz*sqrt (Pz) + jxyz로서 결정된다.
하나 이상의 모델들의 출력부에서 웨이퍼 바이어스를 결정하는 다른 실례로서, 모델 노드 N2m에서의 웨이퍼 바이어스는 모델 노드 N1m에서 결정된 복소 전압 및 전류로부터 모델 노드 N1m에서의 웨이퍼 바이어스를 결정하는 방식과 유사한 방식으로 모델 노드 N2m에서 결정된 복소 전압 및 전류로부터 호스트 시스템 (130) 의 프로세서에 의해서 결정된다. 예시적으로 설명하자면, 이 모델 노드 N2m에서 웨이퍼 바이어스는 ax*Vx + bx*Ix + cx*sqrt (Px) + dx, ay*Vy + by*Iy + cy*sqrt (Py) + dy, az*Vz + bz*Iz + cz*sqrt (Pz) + dz, axy*Vx + bxy*Ix + cxy*sqrt (Px) + dxy*Vy + exy*Iy + fxy*sqrt (Py) + gxy, axz*Vx + bxz*Ix + cxz*sqrt (Px) + dxz*Vz + exz*Iz + fxz*sqrt (Pz) + gxz, ayz*Vy + byz*Iy + cyz*sqrt (Py) + dyz*Vz + eyz*Iz + fyz*sqrt (Pz) + gyz, and axyz*Vx + bxyz*Ix + cxyz*sqrt (Px) + dxyz*Vy + exyz*Iy + fxyz*sqrt (Py) + gxyz*Vz + hxyz*Iz + ixyz*sqrt (Pz) + jxyz로서 결정된다.
하나 이상의 모델들의 출력부에서 웨이퍼 바이어스를 결정하는 다른 실례로서, 모델 노드 N6m에서의 웨이퍼 바이어스는 모델 노드 N2m에서 결정된 복소 전압 및 전류로부터 모델 노드 N2m에서의 웨이퍼 바이어스를 결정하는 방식과 유사한 방식으로 모델 노드 N6m에서 결정된 복소 전압 및 전류로부터 호스트 시스템 (130) 의 프로세서에 의해서 결정된다. 예시적으로 설명하자면, 이 모델 노드 N6m에서 웨이퍼 바이어스는 ax*Vx + bx*Ix + cx*sqrt (Px) + dx, ay*Vy + by*Iy + cy*sqrt (Py) + dy, az*Vz + bz*Iz + cz*sqrt (Pz) + dz, axy*Vx + bxy*Ix + cxy*sqrt (Px) + dxy*Vy + exy*Iy + fxy*sqrt (Py) + gxy, axz*Vx + bxz*Ix + cxz*sqrt (Px) + dxz*Vz + exz*Iz + fxz*sqrt (Pz) + gxz, ayz*Vy + byz*Iy + cyz*sqrt (Py) + dyz*Vz + eyz*Iz + fyz*sqrt (Pz) + gyz, and axyz*Vx + bxyz*Ix + cxyz*sqrt (Px) + dxyz*Vy + exyz*Iy + fxyz*sqrt (Py) + gxyz*Vz + hxyz*Iz + ixyz*sqrt (Pz) + jxyz 로서 결정된다.
몇몇 실시예들에서, 웨이퍼 바이어스는 도 1의 저장 하드웨이 유닛 (162) 내에 저장된다.
도 14는 (도 1의) 호스트 시스템 (130) 내에서 구현되는 웨이퍼 바이어스 생성기 (340) 의 실시예를 예시하는 상태도이다. x MHz RF 생성기, y MHz RF 생성기 및 z MHz RF 생성기 모두가 오프 상태일 때에, 웨이퍼 바이어스는 (도 1의) 예를 들어서 모델 노드 N4m, N1m, N2m, N6m 등과 같은 모델 노드에서 제로 또는 최소이다. x MHz RF 생성기, y MHz RF 생성기 및 z MHz RF 생성기 중 하나는 온 상태이고 나머지 2 개의 오프 상태일 때에, 웨이퍼 바이어스 생성기 (340) 는 예를 들어서 모델 노드 N4m, N1m, N2m, N6m 등과 같은 모델 노드에서의 웨이퍼 바이어스를 제 1 적 a*V, 제 2 적 b*I, 제 3 적 c*sqrt(P) 및 상수 d의 합으로서 결정하고, 여기서 V 는 모델 노드에서의 복소 전압 및 전류의 전압 크기이며, I는 모델 노드에서의 복소 전압 및 전류의 전류 크기이며, P는 모델 노드에서의 복소 전압 및 전류의 전력 크기이며, a는 계수이며, b도 계수이며, c도 계수이며, d는 상수이다. 다양한 실시예들에서, 모델 노드에서의 전력 크기는 이 모델 노드에서의 전압 크기와 전력 크기의 적이다. 몇몇 실시예들에서, 전력 크기는 전달된 전력의 크기이다.
x MHz RF 생성기, y MHz RF 생성기 및 z MHz RF 생성기 중 2 개는 온 상태이고 나머지 1 개의 오프 상태일 때에, 웨이퍼 바이어스 생성기 (340) 는 예를 들어서 모델 노드 N4m, N1m, N2m, N6m 등과 같은 모델 노드에서의 웨이퍼 바이어스를 제 1 적 a12*V1, 제 2 적 b12*I1, 제 3 적 c12*sqrt(P1), 제 4 적 d12*V2, 제 5 적 e12*I2, 제 6 적 f12*sqrt(P2) 및 상수 g12의 합으로서 결정하며, 여기서 V1 는 온 상태에 있는 RF 생성기들 중 제 1 생성기의 출력부에서 측정된 전압을 프로파게이팅시킴으로써 결정된 모델 노드에서의 복소 전압 및 전류의 전압 크기이며, I1 는 온 상태에 있는 RF 생성기들 중 상기 제 1 생성기의 출력부에서 측정된 전류를 프로파게이팅시킴으로써 결정된 모델 노드에서의 복소 전압 및 전류의 전류 크기이며, P1는 상기 V1와 I1 간의 적으로서 결정되는 복소 전압 및 전류의 전력 크기이며, V2 는 온 상태에 있는 RF 생성기들 중 제 2 생성기의 출력부에서 측정된 전압을 프로파게이팅시킴으로써 결정된 모델 노드에서의 복소 전압 및 전류의 전압 크기이며, I2 는 온 상태에 있는 RF 생성기들 중 상기 제 2 생성기의 출력부에서 측정된 전류를 프로파게이팅시킴으로써 결정된 모델 노드에서의 복소 전압 및 전류의 전류 크기이며, P2는 상기 V2와 I2 간의 적으로서 결정되는 복소 전압 및 전류의 전력 크기이며, "a12", "b12", "c12", "d12", "e12" 및 "f12" 는 각각 계수이며 "g12" 는 상수이다.
x MHz RF 생성기, y MHz RF 생성기 및 z MHz RF 생성기 모두가 온 상태에 있을 때, 웨이퍼 바이어스 생성기 (340) 는 예를 들어서 모델 노드 N4m, N1m, N2m, N6m 등과 같은 모델 노드에서의 웨이퍼 바이어스를 제 1 적 a123*V1, 제 2 적 b123*I1, 제 3 적 c123*sqrt(P1), 제 4 적 d123*V2, 제 5 적 e123*I2, 제 6 적 f123*sqrt(P2), 제 7 적 g123*V3, 제 8 적 h123*I3, 제 9 적 i123*sqrt(P3) 및 상수 j123 의 합으로서 결정하며, 여기서 V1 는 RF 생성기들 중 제 1 생성기의 출력부에서 측정된 전압을 프로파게이팅시킴으로써 결정된 모델 노드에서의 복소 전압 및 전류의 전압 크기이며, I1 는 RF 생성기들 중 상기 제 1 생성기의 출력부에서 측정된 전류를 프로파게이팅시킴으로써 결정된 모델 노드에서의 복소 전압 및 전류의 전류 크기이며, P1는 상기 V1와 I1 간의 적으로서 결정되는 복소 전압 및 전류의 전력 크기이며, V2 는 RF 생성기들 중 제 2 생성기의 출력부에서 측정된 전압을 프로파게이팅시킴으로써 결정된 모델 노드에서의 복소 전압 및 전류의 전압 크기이며, I2 는 RF 생성기들 중 상기 제 2 생성기의 출력부에서 측정된 전류를 프로파게이팅시킴으로써 결정된 모델 노드에서의 복소 전압 및 전류의 전류 크기이며, P2는 상기 V2와 I2 간의 적으로서 결정되는 복소 전압 및 전류의 전력 크기이며, V3 는 RF 생성기들 중 제 3 생성기의 출력부에서 측정된 전압을 프로파게이팅시킴으로써 결정된 모델 노드에서의 복소 전압 및 전류의 전압 크기이며, I3 는 RF 생성기들 중 상기 제 3 생성기의 출력부에서 측정된 전류를 프로파게이팅시킴으로써 결정된 모델 노드에서의 복소 전압 및 전류의 전류 크기이며, P3는 상기 V3와 I3 간의 적으로서 결정되는 복소 전압 및 전류의 전력 크기이며, "a123", "b123", "c123", "d123", "e123", "f123", "g123", "h123" 및 "i123" 각각은 계수이며 "j123" 는 상수이다.
도 15는 모델 노드 N4 (도 16) 및 ESC 모델 (125) (도 16) 간의 경로 (153) (도 16) 를 따르는 일 지점에서의 웨이퍼 바이어스를 결정하기 위한 방법 (351) 의 실시예의 흐름도이다. 도 15는 모델의 출력부에서의 웨이퍼 바이어스를 결정하기 위한 시스템 (355) 의 실시예의 블록도인 도 16을 참조하여서 기술된다.
동작 (357) 에서, 생성기 출력 복소 전압 및 전류를 식별하기 위해서 x MHz RF 생성기, y MHz RF 생성기 또는 z MHz RF 생성기의 출력이 검출된다. 예를 들어서, 전압 및 전류 프로브 (110) (도 1) 는 (도 1의) 노드 N3에서의 복소 전압 및 전류를 측정한다. 본 실례에서, 복소 전압 및 전류는 통신 장치 (185) (도 1) 를 통해서 전압 및 전류 프로브 (110) 로부터 (도 1의) 호스트 시스템 (130) 에 의해서 수신되어서 (도 1의) 저장 하드웨어 유닛 (162) 내에 저장된다. 또한, 본 실례에서, 호스트 시스템 (130) 의 프로세서는 저장 하드웨어 유닛 (162) 으로부터 복소 전압 및 전류를 식별한다.
동작 (359) 에서, 호스트 시스템 (130) 의 프로세서가 상기 생성기 출력 복소 전압 및 전류를 사용하여서 모델 노드 N4m과 모델 노드 N6m 간의 경로 (353) 를 따르는 일 지점에서의 프로젝트된 (projected) 전압 및 전류를 결정한다. 경로 (161) 는 모델 노드 N4m에서 모델 노드 N6m으로 연장된다. 예를 들어서, 제 5 복소 전압 및 전류가 x MHz RF 생성기, y MHz RF 생성기 또는 z MHz RF 생성기의 출력부에서 측정된 복소 전압 및 전류로부터 결정된다. 다른 실례로서, 노드 N3 또는 노드 N5에서 측정된 복소 전압 및 전류가 (도 1의) 모델 노드 N4m에서의 복소 전압 및 전류를 결정하도록 임피던스 매칭 회로 (104) 를 통해서 프로파게이팅된다. 본 실례에서, 모델 노드 N4m에서의 복소 전압 및 전류는 경로 (353) 상의 지점에서의 복소 전압 및 전류가 결정되도록 (도 16의) RF 전송 모델 (161) 의 하나 이상의 구성 요소들 및/또는 (도 16의) ESC 모델 (125) 의 하나 이상의 요소들을 통해서 프로파게이팅된다.
동작 (361) 에서, 호스트 시스템 (130) 의 프로세서는 경로 (353) 상의 지점에서 결정된 프로젝트된 복소 전압 및 전류를, 이 프로젝트된 복소 전압 및 전류를 (도 15의) ESC 모델 (125) 의 노드 N6m에서의 웨이퍼 바이어스 값으로 맵핑하는 함수에 입력으로서 인가한다. 예를 들어서, x MHz RF 생성기, y MHz RF 생성기 또는 z MHz RF 생성기가 온 상태에 있으면, 모델 노드 N6m에서의 웨이퍼 바이어스는 제 1 적 a*V, 제 2 적 b*I, 제 3 적 c*sqrt(P) 및 상수 d의 합으로서 결정되고, 여기서 V 는 모델 노드 N6m에서의 상기 프로젝트된 복소 전압 및 전류의 전압 크기이며, I는 모델 노드 N6m에서의 상기 프로젝트된 복소 전압 및 전류의 전류 크기이며, P는 모델 노드 N6m에서의 상기 프로젝트된 복소 전압 및 전류의 전력 크기이며, a, b 및 c 는 계수이며 d 는 상수이다.
다른 실시예에서, x MHz RF 생성기, y MHz RF 생성기 및 z MHz RF 생성기 중 2 개는 온 상태이고 나머지 1 개는 오프 상태일 때에, 모델 노드 N6m 에서의 웨이퍼 바이어스는 제 1 적 a12*V1, 제 2 적 b12*I1, 제 3 적 c12*sqrt(P1), 제 4 적 d12*V2, 제 5 적 e12*I2, 제 6 적 f12*sqrt(P2) 및 상수 g12의 합으로서 결정되며, 여기서 V1는 RF 생성기들 중 제 1 생성기가 온 상태에 있음으로써 유발되는 모델 노드 N6m에서의 전압 크기이며, I1는 RF 생성기들 중 제 1 생성기가 온 상태에 있음으로써 유발되는 모델 노드 N6m에서의 전류 크기이며, P1는 RF 생성기들 중 제 1 생성기가 온 상태에 있음으로써 유발되는 모델 노드 N6m에서의 전력 크기이며, V2는 RF 생성기들 중 제 2 생성기가 온 상태에 있음으로써 유발되는 모델 노드 N6m에서의 전압 크기이며, I2는 RF 생성기들 중 제 2 생성기가 온 상태에 있음으로써 유발되는 모델 노드 N6m에서의 전류 크기이며, P2는 RF 생성기들 중 제 2 생성기가 온 상태에 있음으로써 유발되는 모델 노드 N6m에서의 전력 크기이며, "a12", "b12", "c12", "d12", "e12" 및 "f12" 는 각각 계수이며 "g12" 는 상수이다.
또 다른 실시예에서, x MHz RF 생성기, y MHz RF 생성기 및 z MHz RF 생성기 모두가 온 상태일 때에, 모델 노드 N6m 에서의 웨이퍼 바이어스는 제 1 적 a123*V1, 제 2 적 b123*I1, 제 3 적 c123*sqrt(P1), 제 4 적 d123*V2, 제 5 적 e123*I2, 제 6 적 f123*sqrt(P2), 제 7 적 g123*V3, 제 8 적 h123*I3, 제 9 적 i123*sqrt(P3) 및 상수 j123 의 합으로서 결정되며, 여기서 V1, I1, P1, V2, I2, 및 P2 는 위의 문단에서 설명한 바와 동일하며, V3는 RF 생성기들 중 제 3 생성기가 온 상태에 있음으로써 유발되는 모델 노드 N6m에서의 전압 크기이며, I3는 RF 생성기들 중 제 3 생성기가 온 상태에 있음으로써 유발되는 모델 노드 N6m에서의 전류 크기이며, P3는 RF 생성기들 중 제 3 생성기가 온 상태에 있음으로써 유발되는 모델 노드 N6m에서의 전력 크기이며, a123, b123, c123, d123, e123, f123, g123, h123, 및 i123는 계수이며, j123은 상수이다.
다른 실례로서, 웨이퍼 바이어스를 결정하는데 사용되는 함수는 특성화된 값들과 상수의 합이다. 이 특성화된 값들은 예를 들어서 V, I, P, V1, I1, P1, V2, I2, P2, V3, I3, P3, 등과 같은 크기를 포함한다. 특성화된 값들은 또한 a, b, c, a12, b12, c12, d12, e12, f12, a123, b123, c123, d123, e123, f123, g123, h123, i123, 등과 같은 계수들을 포함한다. 상수의 실례는 상수 d, 상수 g12, 상수 j123 등을 포함한다.
특성화된 값들의 계수들 및 특성화된 값들의 상수는 경험적 모델링 데이터를 포함한다. 예를 들어서, 웨이퍼 바이어스는 웨이퍼 바이어스 센서를 사용하여서 (도 1의) ESC (177) 에서 복수회 측정된다. 또한, 본 실례에서, 웨이퍼 바이어스가 측정되는 복수의 회수 동안에, (도 16의) 경로 (353) 에 따르는 일 지점에서의 복소 전압들 및 전류들이 예를 들어서 x MHz RF 생성기, y MHz RF 생성기, z MHz RF 생성기 등과 같은 하나 이상의 RF 생성기들의 예를 들어서 노드들 N3, N5 등과 같은 하나 이상의 노드들로부터의 복소 전압 및 전류를 예를 들어서 (도 1의) 임피던스 매칭 모델 (104), 모델 부분 (173), RF 전송 모델 (161), ESC 모델 (125) 과 같은 하나 이상의 모델들을 통해서 (도 16의) 경로 (252) 상의 상기 지점에 도달하도록 프로파게이팅시킴으로서 결정된다. 또한, 본 실례에서, 예를 들어서, PLS (partial least square), 리그레션 등과 같은 통계적 방법이 상기 측정된 웨이퍼 바이어스 및 상기 지점에서의 복소 전압들 및 전류들로부터 추출된 전압 크기들, 전류 크기들 및 전력 크기들에 적용되어서 특성화된 값들의 계수들 및 특성화된 값들의 상수가 결정된다.
다양한 실시예들에서, 웨이퍼 바이어스를 결정하는데 사용되는 함수는 경로 (353) 의 물리적 속성들을 나타내는 값들의 합산에 의해서 특성화된다. 경로 (353) 의 물리적 속성들은 예를 들어서 경험적 모델링 데이터 등과 같은 테스트 데이터로부터 유도된다. 경로 (353) 의 물리적 속성들의 실례는 경로 (353) 상의 요소들의 캐패시턴스, 인덕턴스, 이들의 조합 등을 포함한다. 상술한 바와 같이, 경로 (353) 상의 요소들의 커패시턴스 및/또는 인덕턴스는 경로 (353) 상의 지점에서 프로젝션 방법을 사용하여서 경험적으로 결정된 전압들 및 전류들에 영향을 주고 따라서 특성화된 값들의 계수들 및 특성화된 값들의 상수에 영향을 준다.
몇몇 실시예들에서, 웨이퍼 바이어스를 결정하는데 사용되는 함수는 다항식이다.
도 17은 (도 1의) 시스템 (126) 의 모델 노드에서의 웨이퍼 바이어스를 결정하기 위한 방법 (397) 의 실시예의 흐름도이다. 도 17은 도 1 및 도 16을 참조하여서 기술된다. 이 방법 (397) 은 (도 1의) 호스트 시스템 (130) 의 프로세서에 의해서 실행된다. 동작 (365) 에서, 하나 이상의 복소 전압 및 전류가 x MHz RF 생성기, y MHz RF 생성기 및 z MHz RF 생성기 중 하나 이상을 포함하는 생성기 시스템의 하나 이상의 통신 장치로부터 호스트 시스템 (130) 에 의해서 수신된다. 예를 들어서, 노드 N3에서 측정된 복소 전압 및 전류가 (도 1의) 통신 장치 (185) 로부터 수신된다. 다른 실례에서, 노드 N5에서 측정된 복소 전압 및 전류가 (도 1의) 통신 장치 (189) 로부터 수신된다. 또 다른 실례에서, 노드 N3에서 측정된 복소 전압 및 전류 및 노드 N5에서 측정된 복소 전압 및 전류가 수신된다. 생성기 시스템의 출력부는 노드들 N3 및 N5 중 하나 이상 및 z MHz RF 생성기의 출력 노드를 포함한다.
동작 (367) 에서, 생성기 시스템의 출력부에서의 하나 이상의 복소 전압 및 전류에 기초하여서, 프로젝트된 복소 전압 및 전류가 (도 16의) ESC 모델 (125) 과 임피던스 매칭 모델 (104) 간의 예를 들어서 (도 16의) 경로 (353) 상의 일 지점에서 결정된다. 예를 들어서, 생성기 시스템의 출력부에서의 복소 전압 및 전류가 모델 노드 N4m에서의 복소 전압 및 전류가 결정되도록 (도 16의) 임피던스 매칭 모델 (104) 을 통해서 프로젝트된다. 다른 실례로서, 생성기 시스템의 출력부에서의 복소 전압 및 전류가 (도 1의) 모델 노드 N1m에서의 복소 전압 및 전류가 결정되도록 임피던스 매칭 모델 (104) 및 RF 전송 모델 (161) 의 (도 1의) 부분 (173) 을 통해서 프로젝트된다. 또 다른 실례로서, 생성기 시스템의 출력부에서의 복소 전압 및 전류가 (도 1의) 모델 노드 N2m에서의 복소 전압 및 전류가 결정되도록 임피던스 매칭 모델 (104) 및 RF 전송 모델 (161) 을 통해서 프로젝트된다. 또 다른 실례로서, 생성기 시스템의 출력부에서의 복소 전압 및 전류가 (도 1의) 모델 노드 N6m에서의 복소 전압 및 전류가 결정되도록 임피던스 매칭 모델 (104), RF 전송 모델 (161) 및 ESC 모델 (125) 을 통해서 프로젝트된다.
동작 (369) 에서, 함수에 대한 입력으로서 상기 프로젝트된 복소 전압 및 전류를 사용하여서 웨이퍼 바이어스가 경로 (353) 상의 지점에서 계산된다. 예를 들어서, x MHz RF 생성기, y MHz RF 생성기 및 z MHz RF 생성기 중 하나가 온 상태에 있고 나머지 2 개는 오프 상태에 있으면, 상기 지점에서의 웨이퍼 바이어스는 제 1 적 a*V, 제 2 적 b*I, 제 3 적 c*sqrt(P) 및 상수 d의 합으로서 함수로부터 결정되며, 여기서 V 는 상기 지점에서의 프로젝트된 복소 전압 및 전류의 전압 크기이며, I는 상기 지점에서의 상기 프로젝트된 복소 전압 및 전류의 전류 크기이며, P는 상기 지점에서의 상기 프로젝트된 복소 전압 및 전류의 전력 크기이며, a, b 및 c 는 계수이며 d 는 상수이다.
다른 실례로서, x MHz RF 생성기, y MHz RF 생성기 및 z MHz RF 생성기 중 2 개는 온 상태이고 나머지 1 개는 오프 상태일 때에, 상기 지점에서의 웨이퍼 바이어스는 제 1 적 a12*V1, 제 2 적 b12*I1, 제 3 적 c12*sqrt(P1), 제 4 적 d12*V2, 제 5 적 e12*I2, 제 6 적 f12*sqrt(P2) 및 상수 g12의 합으로서 결정되며, 여기서 V1는 RF 생성기들 중 제 1 생성기가 온 상태에 있음으로써 유발되는 상기 지점에서의 전압 크기이며, I1는 RF 생성기들 중 제 1 생성기가 온 상태에 있음으로써 유발되는 상기 지점에서의 전류 크기이며, P1는 RF 생성기들 중 제 1 생성기가 온 상태에 있음으로써 유발되는 모델 노드 N6m에서의 전력 크기이며, V2는 RF 생성기들 중 제 2 생성기가 온 상태에 있음으로써 유발되는 상기 지점에서의 전압 크기이며, I2는 RF 생성기들 중 제 2 생성기가 온 상태에 있음으로써 유발되는 상기 지점에서의 전류 크기이며, P2는 RF 생성기들 중 제 2 생성기가 온 상태에 있음으로써 유발되는 상기 지점에서의 전력 크기이며, "a12", "b12", "c12", "d12", "e12" 및 "f12" 는 각각 계수이며 "g12" 는 상수이다.
또 다른 실례로서, x MHz RF 생성기, y MHz RF 생성기 및 z MHz RF 생성기 모두가 온 상태일 때에, 상기 지점에서의 웨이퍼 바이어스는 제 1 적 a123*V1, 제 2 적 b123*I1, 제 3 적 c123*sqrt(P1), 제 4 적 d123*V2, 제 5 적 e123*I2, 제 6 적 f123*sqrt(P2), 제 7 적 g123*V3, 제 8 적 h123*I3, 제 9 적 i123*sqrt(P3) 및 상수 j123 의 합으로서 결정되며, 여기서 V1, I1, P1, V2, I2, 및 P2 는 위의 문단에서 설명한 바와 동일하며, V3는 RF 생성기들 중 제 3 생성기가 온 상태에 있음으로써 유발되는 상기 지점에서의 전압 크기이며, I3는 RF 생성기들 중 제 3 생성기가 온 상태에 있음으로써 유발되는 상기 지점에서의 전류 크기이며, P3는 RF 생성기들 중 제 3 생성기가 온 상태에 있음으로써 유발되는 상기 지점에서의 전력 크기이며, a123, b123, c123, d123, e123, f123, g123, h123, 및 i123는 계수이며, j123은 상수이다.
도 18은 예를 들어서 전압 센서 등과 같은 전압 프로브 (332) 를 사용하는 대신에 (도 13의) 방법 (340), (도 15의) 방법 (351) 또는 (도 17의) 방법 (397) 을 사용함으로써 웨이퍼 바이어스를 결정하는 이점들을 설명하는데 사용되는 시스템 (330) 의 실시예의 블록도이다.
노드 N1에서의 전압을 결정하도록 전압 프로브 (332) 가 노드 N1에 접속된다. 몇몇 실시예들에서, 예를 들어서 노드 N2, N4, 등과 같은 다른 노드에서의 전압을 결정하도록 전압 프로브 (332) 가 이러한 다른 노드에 접속된다. 전압 프로브 (332) 는 예를 들어서 RF 스플리터 (splitter) 회로, 필터 회로 1, 필터 회로 2, 필터 회로 3 등과 같은 다수의 회로들을 포함한다.
또한, x MHz RF 생성기 및 y MHz RF 생성기가 노이즈 또는 신호 판정 모듈 (336) 을 포함하는 호스트 시스템 (334) 에 접속된다. 이 모듈의 실례는 프로세서, ASIC, PLD, 프로세서에 의해서 실행되는 소프트웨어 또는 이들의 조합을 포함한다.
전압 프로브 (332) 는 웨이퍼 바이어스를 결정하기 위해서 호스트 시스템 (334) 이 사용하는 전압 크기를 측정한다. 모듈 (336) 은 전압 프로브 (332) 에 의해서 측정된 전압 크기가 신호인지 노이즈인지를 판정한다. 전압 프로브 (332) 에 의해서 측정된 전압 크기가 신호라고 판정되면, 호스트 시스템 (334) 은 웨이퍼 바이어스를 결정한다.
(도 1의) 시스템 (126) 은 시스템 (330) 에 비해서 비용면에서 효과적이며 시스템 (330) 에 비해서 시간 및 노동을 절감한다. 시스템 (330) 은 시스템 (126) 내에서는 포함될 필요가 없는 전압 프로브 (332) 를 포함하고 있다. 웨이퍼 바이어스를 결정하기 위해서 시스템 (126) 의 노드 N4, N1 또는 N2에 전압 프로브를 접속할 필요가 없다. 시스템 (126) 에서, 웨이퍼 바이어스는 (도 1의) 임피던스 매칭 모델 (104), RF 전송 모델 (161) 및/또는 ESC 모델 (125) 에 기초하여서 결정된다. 또한, 시스템 (330) 은 시스템 (126) 내에서는 포함될 필요가 없는 모듈 (336) 를 포함하고 있다. 복소 전압 및 전류가 신호인지 노이즈인지를 판정하기 위한 시간 및 노동을 사용할 필요가 없다. 이러한 판정은 (도 1의) 호스트 시스템 (130) 에 의해서 수행될 필요가 없다.
도 19a, 도 19b 및 도 19c는 (도 2의) 전압 프로브를 사용함으로써 (도 1의) 부분 (195) 의 예를 들어서 노드 N1와 같은 출력부에서 측정된 예를 들어서 피크 전압과 같은 전압과 방법 (102) 을 사용하여서 결정된 예를 들어서 노드 N1m와 같은 대응하는 모델 노드 출력부에서의 예를 들어서 피크 전압 등과 같은 전압 간의 예를 들어서 선형 상관 관계와 같은 상관을 예시하는 그래프들 (328,332,336) 의 실시예를 나타내고 있다. 그래프들 (328,332,336) 각각에서, 측정된 전압은 y 축 상에서 플롯팅되며 방법 (102) 을 사용하여서 결정된 전압은 x 축 상에서 플롯팅된다.
또한, 도 19a, 도 19b 및 도 19c는 웨이퍼 바이어스 프로브를 사용함으로써 (도 1의) 출력부 N6에서 측정된 웨이퍼 바이어스와 (도 13의) 방법 (340), (도 15의) 방법 (351) 또는 (도 17의) 방법 (397) 을 사용하여서 결정된 예를 들어서 노드 N6m와 같은 대응하는 모델 노드 출력부에서의 웨이퍼 바이어스 간의 예를 들어서 선형 상관 관계와 같은 상관을 예시하는 그래프들 (331,334,338) 의 실시예를 나타내고 있다. 그래프들 (331,334,338) 각각에서, 웨이퍼 바이어스 프로브를 사용하여서 측정된 웨이퍼 바이어스는 y 축 상에서 플롯팅되며 방법 (340), 방법 (351) 또는 방법 (397) 을 사용하여서 결정된 웨이퍼 바이어스는 x 축 상에서 플롯팅된다.
z MHz RF 생성기 및 y MHz RF 생성기가 온 상태에 있고 x MHz RF 생성기는 오프 상태에 있을 때에 전압들 및 웨이퍼 바이어스가 그래프들 (328,331) 에서 플롯팅된다. x MHz RF 생성기 및 z MHz RF 생성기가 온 상태에 있고 y MHz RF 생성기는 오프 상태에 있을 때에 전압들 및 웨이퍼 바이어스가 그래프들 (332,334) 에서 플롯팅된다. 또한, x MHz RF 생성기 및 y MHz RF 생성기가 온 상태에 있고 z MHz RF 생성기는 오프 상태에 있을 때에 전압들 및 웨이퍼 바이어스가 그래프들 (336,338) 에서 플롯팅된다.
도 20a는 예를 들어서 계측 툴, 프로브, 센서, 웨이퍼 바이어스 프로브 등과 같은 센서 툴을 사용하여서 측정된 배선형 (wired) 웨이퍼 바이어스, (도 13의) 방법 (340), (도 15의) 방법 (351) 또는 (도 17의) 방법 (397) 을 사용하여서 결정된 모델 웨이퍼 바이어스와 이 모델 웨이퍼 바이어스 내의 오차 간에 상관성이 존재함을 설명하는 그래프들 (276,278) 의 실시예의 도면이다. 그래프 (276) 에서 플롯팅된 배선형 웨이퍼 바이어스는 예를 들어서 (도 1의) RF 전송 라인 (113) 상의 노드, ESC (177) 의 상부 표면 (183) 상의 노드 등과 같은 일 지점에서 측정된 것이며, 그래프 (276) 에서 플롯팅된 모델 바이어스는 (도 16의) 경로 (353) 상의 예를 들어서 모델 노드 N4m, 모델 노드 N1m, 모델 노드 N2m, 모델 노드 N6m 등과 같은 대응하는 모델 지점에서 결정된 것이다. 배선형 와이어 바이어스는 그래프 (276) 에서 y 축을 따라서 플롯팅되고 모델 와이어 바이어스는 그래프 (276) 에서 x 축을 따라서 플롯팅된다.
z MHz RF 생성기 및 y MHz RF 생성기가 오프 상태에 있고 x MHz RF 생성기가 온 상태에 있을 때에 배선형 웨이퍼 바이어스 및 모델 웨이퍼 바이어스가 그래프 (276) 에서 플롯팅된다. 또한, 그래프 (276) 의 모델 웨이퍼 바이어스는 식 a2*V2 + b2*I2 + c2*sqrt (P2) + d2을 사용하여서 결정되며, 여기서 "*" 는 승산을 나타내고, "sqrt" 는 제곱근을 나타내고, "V2" 는 (도 16의) 경로 (353) 상의 지점에서의 전압을 나타내고, I2는 경로 (353) 상의 지점에서의 전류를 나타내고, P2는 상기 지점에서의 전력을 나타내며, "a2" 는 계수이고, "b2" 도 계수이며, "c2" 도 계수이며 "d2" 는 상수이다.
그래프 (278) 는 상기 지점에서의 모델 바이어스의 오차를 y 축을 따라서 플롯팅하고 x 축 상에는 모델 바이어스를 플롯팅한다. 이 모델 바이어스 오차는 모델 바이어스 내의 예를 들어서 분산, 표준 편차 등과 같은 오차이다. z MHz RF 생성기 및 y MHz RF 생성기가 오프 상태에 있고 x MHz RF 생성기가 온 상태에 있을 때에 모델 바이어스 오차 및 모델 바이어스가 그래프 (278) 에서 플롯팅된다.
도 20b는 배선형 웨이퍼 바이어스, (도 13의) 방법 (340), (도 15의) 방법 (351) 또는 (도 17의) 방법 (397) 을 사용하여서 결정된 모델 웨이퍼 바이어스와 이 모델 웨이퍼 바이어스 내의 오차 간에 상관성이 존재함을 설명하는 그래프들 (280,282) 의 실시예의 도면이다. 그래프들 (280,282) 은 x MHz RF 생성기 및 z MHz RF 생성기가 오프 상태에 있고 y MHz RF 생성기가 온 상태인 것을 제외하면 상기 도 20a의 그래프들 (276,278) 과 유사한 방식으로 플롯팅된다. 또한, 그래프들 (280,282) 의 모델 바이어스는 식 a27*V27 + b27*I27 + c27*sqrt (P27) + d27을 사용하여서 결정되며, 여기서 "V27" 는 (도 16의) 경로 (353) 상의 지점에서의 전압 크기를 나타내며, "I27" 은 상기 지점에서의 전류 크기를 나타내고, "P27" 은 상기 지점에서의 전력 크기를 나타내며, "a27", "b27" 및 "c27" 는 계수이며 "d27" 는 상수이다.
도 20c는 배선형 웨이퍼 바이어스, (도 13의) 방법 (340), (도 15의) 방법 (351) 또는 (도 17의) 방법 (397) 을 사용하여서 결정된 모델 웨이퍼 바이어스와 이 모델 웨이퍼 바이어스 내의 오차 간에 상관성이 존재함을 설명하는 그래프들 (284,286) 의 실시예의 도면이다. 그래프들 (284,286) 은 x MHz RF 생성기 및 y MHz RF 생성기가 오프 상태에 있고 z MHz RF 생성기가 온 상태인 것을 제외하면 상기 도 20a의 그래프들 (276,278) 과 유사한 방식으로 플롯팅된다. 또한, 도 20c의 그래프들 (284,286) 의 모델 바이어스는 식 a60*V60 + b60*I60 + c60*sqrt (P60) + d60을 사용하여서 결정되며, 여기서 "V60" 는 (도 16의) 경로 (353) 상의 지점에서의 전압 크기를 나타내며, "I60" 은 상기 지점에서의 전류 크기를 나타내고, "P60" 은 상기 지점에서의 전력 크기를 나타내며, "a60", "b60" 및 "c60" 는 계수이며 "d60" 는 상수이다.
도 20d는 배선형 웨이퍼 바이어스, (도 13의) 방법 (340), (도 15의) 방법 (351) 또는 (도 17의) 방법 (397) 을 사용하여서 결정된 모델 웨이퍼 바이어스와 이 모델 웨이퍼 바이어스 내의 오차 간에 상관성이 존재함을 설명하는 그래프들 (288,290) 의 실시예의 도면이다. 그래프들 (288,290) 은 x MHz RF 생성기 및 y MHz RF 생성기가 온 상태에 있고 z MHz RF 생성기가 오프 상태인 것을 제외하면 상기 도 20a의 그래프들 (276,278) 과 유사한 방식으로 플롯팅된다. 또한, 이 그래프들 (288,290) 의 모델 바이어스는 식 a227*V2 + b227*I2 + c227*sqrt (P2) + d227*V27 + e227*I27 + f227*sqrt (P27) + g227 을 사용하여서 결정되며, 여기서 "a227", "b227" and "c227", "d227", "e227" 및 "f227" 은 계수들이며 "g227" 은 상수이다.
도 20e는 배선형 웨이퍼 바이어스, (도 13의) 방법 (340), (도 15의) 방법 (351) 또는 (도 17의) 방법 (397) 을 사용하여서 결정된 모델 웨이퍼 바이어스와 이 모델 웨이퍼 바이어스 내의 오차 간에 상관성이 존재함을 설명하는 그래프들 (292,294) 의 실시예의 도면이다. 그래프들 (292,294) 은 x MHz RF 생성기 및 z MHz RF 생성기가 온 상태에 있고 y MHz RF 생성기가 오프 상태인 것을 제외하면 상기 도 20a의 그래프들 (276,278) 과 유사한 방식으로 플롯팅된다. 또한, 이 그래프들 (292,294) 의 모델 바이어스는 식 a260*V2 + b260*I2 + c260*sqrt (P2) + d20*V60 + e260*I60 + f260*sqrt (P60) + g260 을 사용하여서 결정되며, 여기서 "a260", "b260" "c260", "d260", "e260" 및 "f260" 은 계수들이며 "g260" 은 상수이다.
도 20f는 배선형 웨이퍼 바이어스, (도 13의) 방법 (340), (도 15의) 방법 (351) 또는 (도 17의) 방법 (397) 을 사용하여서 결정된 모델 웨이퍼 바이어스와 이 모델 웨이퍼 바이어스 내의 오차 간에 상관성이 존재함을 설명하는 그래프들 (296,298) 의 실시예의 도면이다. 그래프들 (296,298) 은 y MHz RF 생성기 및 z MHz RF 생성기가 온 상태에 있고 x MHz RF 생성기가 오프 상태인 것을 제외하면 상기 도 20a의 그래프들 (276,278) 과 유사한 방식으로 플롯팅된다. 또한, 이 그래프들 (296,298) 의 모델 바이어스는 식 a2760*V27 + b2760*I27 + c2760*sqrt (P27) + d2760*V60 + e2760*I60 + f2760*sqrt (P60) + g2760 을 사용하여서 결정되며, 여기서 "a2760", "b2760" "c2760", "d2760", "e2760" 및 "f2760" 는 계수들이며, "g2760" 는 상수이다.
도 20g는 배선형 웨이퍼 바이어스, (도 13의) 방법 (340), (도 15의) 방법 (351) 또는 (도 17의) 방법 (397) 을 사용하여서 결정된 모델 웨이퍼 바이어스와 이 모델 웨이퍼 바이어스 내의 오차 간에 상관성이 존재함을 설명하는 그래프들 (302,304) 의 실시예의 도면이다. 그래프들 (302,304) 은 y MHz RF 생성기 및 z MHz RF 생성기가 온 상태에 있고 x MHz RF 생성기도 온 상태인 것을 제외하면 상기 도 20a의 그래프들 (276,278) 과 유사한 방식으로 플롯팅된다. 또한, 이 그래프들 (302,304) 의 모델 바이어스는 식 a22760*V2 + b22760*I2 + c22760*sqrt (P2) + d22760*V60 + e22760*I60 + f22760*sqrt (P60) + g22760*V27 + h22760*I27 + i22760*sqrt (P27) + j22760 을 사용하여서 결정되며, 여기서 "a22760", "b22760", "c22760", "d22760", "e22760", "f22760" "g22760", "h22760" 및 "i22760"은 계수들이며, " j22760" 는 상수이다.
도 21은 호스트 시스템 (130) 의 실시예의 블록도이다. 호스트 시스템 (13)) 은 프로세서 (168), 저장 하드웨어 유닛 (162), 입력 하드웨어 유닛 HU (380), 출력 HU (382), 입출력 (I/O) 인터페이스 (384), I/O 인터페이스 (386), 네트워크 인터페이스 제어기 (NIC) (388) 및 버스 (390) 를 포함한다. 프로세서 (168), 저장 하드웨어 유닛 (162), 입력 하드웨어 유닛 HU (380), 출력 HU (382), 입출력 (I/O) 인터페이스 (384), I/O 인터페이스 (386) 및 네트워크 인터페이스 제어기 (NIC) (388) 는 버스 (393) 를 통해서 서로 접속된다. 입력 HU (380) 의 실례는 마우스, 키보드, 스타일러스 등을 포함한다. 출력 HU (382) 의 실례는 디스플레이, 스피커 또는 이들의 조합을 포함한다. 디스플레이의 실례는 액정 디스플레이, LED 디스플레이, CRT, 플라즈마 디스플레이 등을 포함한다. NIC (388) 의 실례는 네트워크 인터페이스 카드, 네트워크 어댑터 등을 포함한다.
입출력 인터페이스의 실례는 이 인터페이스에 접속된 다수 개의 하드웨어 간에 호환성을 제공하는 인터페이스를 포함한다. 예를 들어서, 입출력 인터페이스 (384) 는 입력 HU (380) 로부터 수신된 신호를 버스 (393) 와 호환 가능한 형태, 진폭 및/또는 속도로 변환한다. 다른 실례로서, 입출력 인터페이스 (386) 는 입력 HU (380) 로부터 수신된 신호를 버스 (393) 와 호환 가능한 형태, 진폭 및/또는 속도로 변환한다.
몇몇 실시예들에서, 웨이퍼 바이어스는 (도 1의) 처리 대상 (131) 을 (도 1의) ESC (177) 에 클램핑하는데 사용되는 클램핑 전압을 결정하는데 사용된다. 예를 들어서, 웨이퍼 바이어스가 (도 1의) 플라즈마 챔버 (175) 에 없으면, ESC (177) 내의 2 개의 전극들은 처리 대상 (131) 을 ESC (177) 에 클램핑하도록 서로 반대되는 극성을 갖는 동일한 크기의 전압들을 갖는다. 예를 들어서, 웨이퍼 바이어스가 플라즈마 챔버 (175) 내에 존재하면, 상기 2 개의 전극들에 인가되는 전압들은 이러한 웨이퍼 바이어스의 존재를 보상하기 위해서 서로 크기가 다르게 된다. 다양한 실시예들에서, 웨이퍼 바이어스는 (도 1의) ESC (177) 에서의 바이어스를 보상하는데 사용된다.
예를 들어서, ESC (177) 에서의 바이어스를 보상하는 전압을 사용하는 것에 비하여서 웨이퍼 바이어스를 결정하도록 전류 크기, 전압 크기 및 전류와 전압 간의 위상과 같은 3 개의 파라미터를 사용하면 웨이퍼 바이어스의 보다 양호한 결정이 가능하게 된다. 예를 들어서, 이러한 3 개의 파라미터를 사용하여서 계산된 웨이퍼 바이어스는 RF 전압과 비선형 플라즈마 영역들 간의 상관에 비해서 이러한 비선형 플라즈마 영역에 대해서 보다 강한 상관성을 갖는다. 다른 실례로서, 이러한 3 개의 파라미터를 사용하여서 계산된 웨이퍼 바이어스는 전압 프로브를 사용하여서 결정되는 것보다 정확하다.
다양한 실시예들에서, 이온 에너지 결정은 호스트 시스템 (130) 의 프로세서 (168) 에 의해서 수행된다. 예를 들어서, 이온 에너지는 예를 들어서 모델 노드 N6m에서의 모델링된 바이어스와 같은 웨이퍼 바이어스만큼 승산된 계수 "C1" 및 전압의 피크 크기만큼 승산된 계수 "C2"의 합으로서 계산된다. 계수 "C1"의 실례들은 음의 실수를 포함하고, 계수 "C2"의 실례들은 양의 실수를 포함한다.
다양한 실시예들에서, 계수 "C1"은 양의 실수이다. 다양한 실시예들에서, 계수 "C2"은 음의 실수이다. 이 계수 "C1", 계수 "C2", 웨이퍼 바이어스 및 상기 피크 크기가 (도 21의) 저장 HU (162) 에 저장된다. 피크 크기의 실례는 피크 대 피크 크기 및 제로 대 피크 크기를 포함한다.
몇몇 실시예들에서, 이온 에너지를 결정하는데 사용되는 피크 크기는 (도 1의) 모델 노드 N6m에서의 복소 전압 및 전류로부터 호스트 시스템 (130) 의 프로세서 (168) 에 의해서 추출된다. 다양한 실시예들에서, 이온 에너지를 결정하는데 사용되는 피크 크기는 (도 1의) 모델 노드 N2m, 모델 노드 N1m 또는 모델 노드 N4m에서의 복소 전압 및 전류로부터 호스트 시스템 (130) 의 프로세서 (168) 에 의해서 추출된다.
다양한 실시예들에서, 이온 에너지를 계산하는데 사용되는 피크 크기는 일 단부가 (도 1의) 노드 N1 또는 노드 N2 또는 노드 N6에 접속되고 타단부가 프로세서 (168) 에 접속되는 전압 및 전류 프로브에 의해서 측정된다. 노드 N1 또는 노드 N2 또는 노드 N6에 접속된 전압 및 전류 프로브는 x MHz RF 생성기 및 y MHz RF 생성기의 주파수들 간을 구별할 수 있다.
몇몇 실시예에서, 이온 에너지를 결정하는데 사용되는 피크 크기 및 웨이퍼 바이어스 모두는 일 모델 노드에서의 것이다. 예를 들어서, 이온 에너지를 결정하는데 사용되는 피크 크기는 모델 노드 N6m에서의 복소 전압 및 전류로부터 추출되고, 이온 에너지를 결정하는데 사용되는 웨이퍼 바이어스도 모델 노드 N6m에서 계산된다. 다른 실례로서, 이온 에너지를 결정하는데 사용되는 피크 크기는 모델 노드 N2m에서의 복소 전압 및 전류로부터 추출되고, 이온 에너지를 결정하는데 사용되는 웨이퍼 바이어스도 모델 노드 N2m에서 계산된다.
다양한 실시예에서, 이온 에너지를 결정하는데 사용되는 피크 크기는 제 1 모델 노드에서의 복소 전압 및 전류로부터 추출되고, 이온 에너지를 결정하는데 사용되는 웨이퍼 바이어스는 상기 제 1 모델 노드가 아닌 제 2 모델 노드에서 계산된다. 예를 들어서, 이온 에너지를 결정하는데 사용되는 피크 크기는 모델 노드 N6m에서의 복소 전압 및 전류로부터 추출되고, 이온 에너지를 결정하는데 사용되는 웨이퍼 바이어스도 모델 노드 N2m에서 계산된다. 다른 실례로서, 이온 에너지를 결정하는데 사용되는 피크 크기는 모델 노드 N2m에서의 복소 전압 및 전류로부터 추출되고, 이온 에너지를 결정하는데 사용되는 웨이퍼 바이어스도 모델 노드 N6m에서 계산된다.
몇몇 실시예에서, 이온 에너지를 결정하는데 사용되는 피크 크기는 (도 1의) x MHz RF 생성기 및 y MHz RF 생성기 중 하나 이상의 생성기의 예를 들어서 (도 1의) 노드 N3, 노드 N5 등과 같은 하나 이상의 출력부에서의 전압이다. 복수의 RF 생성기들이 사용되는, 예를 들어서 x MHz RF 생성기 및 y MHz RF 생성기 양자가 사용되는 실시예들에서, 피크 전압은 일 단부가 노드 N3에 접속되고 타단부가 프로세서 (168) 에 접속된 전압 및 전류 프로브에 의해서 측정되고, 피크 전압은 일 단부가 노드 N5에 접속되고 타단부가 프로세서 (168) 에 접속된 전압 및 전류 프로브에 의해서 측정되며, 프로세서 (168) 는 상기 출력부들에서 측정된 피크 전압들의 예를 들어서 합, 평균 등의 대수적 결합을 계산하여서 이온 에너지를 계산하는데 사용되는 피크 크기를 계산한다. 노드들 N3 및 N5 중 임의의 노드에 접속된 전압 및 전류 프로브의 실례는 NIST 프로브를 포함한다.
몇몇 실시예들에서, 피크 크기 대신에, RMS (root mean square) 크기가 사용된다.
몇몇 실시예들에서, 이온 에너지는 웨이퍼 바이어스 및 이 웨이퍼 바이어스를 계산하는데 사용된 예를 들어서 Vx, Vy, Vz 등과 같은 RF 전압 크기의 함수로서 호스트 시스템 (130) 의 프로세서 (168) 에 의해서 결정된다. 예를 들어서, 호스트 시스템 (130) 의 프로세서 (168) 는 이온 에너지를 다음과 같이 결정한다:
Ei = (-1/2)Vdc + (1/2)Vpeak
여기서, Ei는 이온 에너지이며, Vdc는 웨이퍼 바이어스 전위이며, Vpeak는 웨이퍼 바이어스 전위를 계산하는데 사용되는 제로 대 피크 전력이다. 이 등식에서 사용된 -1/2 및 +1/2는 실례일뿐이다. 예를 들어서, -1/2 대신에, 예를 들어서 -1/3, -1/2.5 등과 같은 다른 음수가 사용될 수 있다. 다른 실례로서, +1/2 대신에, 예를 들어서 +1/3, +1/4 등과 같은 다른 양수가 사용될 수 있다. Vpeak는 예를 들어서 Vx, Vy, Vz과 같은 피크 전압이다. 다양한 실시예들에서, 임의의 다른 등식이 이온 에너지를 결정하는데 사용된다.
몇몇 실시예들에서, 복수의 RF 생성기들이 온 상태에 있을 때에, 이온 에너지를 계산하는데 사용되는 Vpeak는 이 모든 RF 생성기들 중 최저 주파수를 갖는 RF 생성기의 것이다. 예를 들어서, Vpeak는 Vx이다. 다양한 실시예들에서, 복수의 RF 생성기들이 온 상태에 있을 때에, 이온 에너지를 계산하는데 사용되는 Vpeak는 이 모든 RF 생성기들 중 최고 주파수를 갖는 RF 생성기의 것이다. 예를 들어서, Vpeak는 Vz이다. 다양한 실시예들에서, 복수의 RF 생성기들이 온 상태에 있을 때에, 이온 에너지를 계산하는데 사용되는 Vpeak는 상기 최저 주파수와 최고 주파수 간의 주파수를 갖는 RF 생성기의 것이다. 예를 들어서, Vpeak는 Vy이다. 몇몇 실시예들에서, Vpeak는 온 상태에 있는 RF 생성기들의 피크 전압들의 예를 들어서 메디안 (median), 평균 등과 같은 통계적 값의 피크 전압이다. 이러한 방식으로 이온 에너지가 계산되면 Vpeak를 측정하는 고가의 VI 프로브 장치가 필요 없게 되고 웨이퍼 바이어스를 측정하는 바이어스 보상 회로도 필요 없게 된다. 바이어스 보상 회로의 실례는 실리콘 카바이드 핀을 포함한다. 본 개시의 다양한 실시예들을 사용하여서 결정된 이온 에너지는 낮은 MTBF (measured time betweeen failures) 을 보인다.
몇몇 실시예들에서, 이온 에너지 값은 저장 하드웨어 유닛 (162) 에 저장된다.
도 22은 플라즈마 시스템 내의 오동작 장치를 결정하는 시스템 (369) 의 블록도이다. 시스템 (369) 의 설비 (371) 는 복수의 플라즈마 처리 툴들 (T) 을 포함한다. 이 설비 (371) 의 실례는 빌딩, 구조물, 룸 등을 포함한다. 예시적으로, 사람이 이 설비 (371) 내의 툴들 간에서 이동하면서 이 툴에 대해서 체크 동작, 세정 동작, 이동 동작, 재배치 동작, 폐기 동작, 설치 등과 같은 다양한 동작들을 수행할 수 있다. 플라즈마 처리 툴 중 하나가 툴 (373) 로서 예시된다.
이 툴 (373) 은 전달 모듈 (375) 및 하나 이상의 플라즈마 모듈 (377) 을 포함한다. 전달 모듈 (375) 은 예를 들어서 (도 1의) 처리 대상 (262) 과 같은 하나 이상의 처리 대상을 일 플라즈마 모듈에서 다른 플라즈마 모듈로 전달하기 위해서 수직 구동 및 회전 구동 등을 통해서 제어되는 예를 들어서 로봇 암, 지지부와 같은 메카니즘을 포함한다.
플라즈마 모듈은 전달 모듈 (375) 로부터 수신된 처리 대상에 대하여서 하나 이상의 프로세스들을 수행하는데 사용된다. 예를 들어서, 플라즈마 모듈은 기판을 세정하거나, 기판 일부을 에칭하거나, 기판 상에 재료를 증착하는 등과 같은 바를 수행한다. 몇몇 실시예들에서, 플라즈마 모듈은 플라즈마 시스템의 호스트 시스템을 제외한 플라즈마 시스템이다. 예를 들어서, 플라즈마 모듈은 호스트 시스템 (130) 을 제외하면 (도 1의) 플라즈마 시스템 (126) 이다.
툴 (373) 은 예를 들어서 호스트 시스템과 같은 컴퓨팅 장치 (379) 에 접속된다. 예를 들어서, 툴 (373) 은 아날로그 대 디지털 변환기 및 네트워크 케이블을 통해서 컴퓨팅 장치 (379) 에 접속된다. 플라즈마 모듈 (373) 이 한정 사항들 (constraints) 내에서 동작하지 않으면, 컴퓨팅 장치 (379) 가 플라즈마 모듈 (377) 이 적절하게 동작하지 않고 있음을 사람 (435) 에게 알린다. 예를 들어서, 컴퓨팅 장치 (379) 는 플라즈마 모듈 (377) 의 부적합한 동작에 대한 메시지를 사람 (435) 에게 표시한다. 다른 실례로서, 컴퓨팅 장치 (379) 는 플라즈마 모듈 (377) 의 부적합한 동작을 알리는 것 이외에 음향적 신호를 사람 (435) 에게 보낸다.
플라즈마 모듈 (377) 이 한정 사항 내에서 동작하지 않는다는 표시를 수신하면, 사람 (435) 은 설비 (371) 내에 들어가서 이 플라즈마 모듈 (377) 의 전력 전달부를 플라즈마 모듈 (377) 의 처리부로부터 분리한다. 전력 전달부는 RF 전력을 생성하며 이 전력은 플라즈마를 생성하기 위해서 처리부에 제공된다. 플라즈마는 처리 대상을 처리하는데 사용된다. 전력 전달부 및 처리부는 이하에서 더 기술된다. 사람 (435) 은 알려진 부하를 전력 전달부에 연결하고 플라즈마 모듈 (377) 내의 오동작 장치의 위치를 결정하기 위한 방법을 실행한다.
도 23은 시스템 (369) 내의 오동작 장치를 결정하는 방법 (427) 의 실시예의 흐름도이다. 이 방법 (427) 은 예를 들어서 컴퓨팅 장치 (379) 의 프로세서와 같은 (도 22의) 시스템 (369) 의 하나 이상의 프로세서에 의해서 수행된다.
동작 (429) 에서, (도 22의) 플라즈마 모듈 (377) 이 한정 사항들 내에서 동작하는지의 여부가 판정된다. 플라즈마 모듈 (377) 이 한정 사항들 내에서 동작한다고 판정되면, 이 방법 (427) 은 종결된다. 이와 달리, 플라즈마 모듈 (377) 이 한정 사항들 내에서 동작하지 않고 있다고 판정되면, 동작 (433) 에서, 전력 전달부의 출력부에서의 변수의 값이 결정된다. 예를 들어서, 전력 전달부의 출력부에서의 임피던스 값이 이 출력부가 알려진 부하에 접속된 때에 결정된다. 다른 실례로서, 전력 전달부의 출력부에서의 바이어스 전압 또는 이온 에너지가 출력부를 상기 알려진 부하로 접속한 후에 결정된다. 또 다른 실례에서, 복소 전압 및 전류가 출력부가 상기 알려진 부하에 접속된 때에 상기 출력부에서 결정된다. 전력 전달부의 출력부에서의 값을 결정하는 방식은 이하에서 더 기술된다.
동작 (437) 에서, 동작 (433) 동안에 결정된 값이 사전 기록된 값의 범위 외에 있는지가 판정된다. 이 사전 기록된 값의 실례는 사전 설정된 방식을 따르는 프로브를 사용하여서 생성된 값을 포함한다. 몇몇 실시예들에서, 사전 기록된 값은 (도 2의) 동작 (116) 에서 결정된 제 2 복소 전압 및 전류 또는 (도 2의) 동작 (119) 에서 결정된 제 3 복소 전압 및 전류 또는 (도 13의) 동작 (342) 에서 결정된 웨이퍼 바이어스 또는 상술된 바와 같이 결정된 이온 에너지이다.
상기 결정된 값이 사전 기록된 값의 범위 외에 있다고 판정되면, 동작 (439) 에서, 전력 전달부의 입력부와 전력 전달부의 출력부 간에 오동작 장치가 있다고 판정된다. 이와 달리, 상기 결정된 값이 사전 기록된 값의 범위 외에 있지 않는다고 판정되면, 동작 (445) 에서, 오동작 장치가 처리부의 입력부와 처리부의 출력부 간에 오동작 장치가 있다고 판정된다. 예를 들어서, 상기 결정된 값이 사전 기록된 값의 범위 내에 있다고 판정되면, 오동작 장치가 처리부의 입력부와 처리부의 출력부 간에 오동작 장치가 있다고 판정된다. 이 방법 (427) 은 동작들 (439,445) 후에 종결된다.
도 24는 변수 값들이 시스템 (409) 내에 오동작이 존재하는지의 여부를 판정하기 위해서 사용되는 시스템 (409) 의 실시예의 도면이다. 시스템 (409) 은 시스템 (128) 이 알려진 부하 (388) 에 접속된 것을 제외하면 도 11의 시스템 (128) 과 유사하다. 또한, 호스트 시스템 (363) 은 도 11의 시스템 (128) 에서는 도시되지 않는다. 시스템 (409) 에서, m MHz RF 생성기는 RF 케이블 (376) 을 통해서 임피던스 매칭 회로 (115) 에 접속되며, n MHz RF 생성기는 RF 케이블 (378) 을 통해서 임피던스 매칭 회로 (115) 에 접속된다. 또한, 호스트 시스템 (383) 은 m MHz RF 생성기의 입력부 (372) 에 접속된다. 임피던스 매칭 회로 (115) 는 RF 전송 라인 (287) 을 통해서 알려진 부하 (388) 에 접속된다. RF 전송 라인 (287) 은 임피던스 매칭 회로 (115) 의 출력부 (283) 에 접속되어서 RF 신호 (384) 가 알려진 부하 (388) 로 전송되게 한다. RF 신호 (284) 는 온 상태에 있는 m MHz RF 생성기 및 n MHz RF 생성기에 의해서 그리고 임피던스 매칭 회로 (115) 에 접속된 부하의 임피던스를 임피던스 매칭 회로 (115) 에 접속된 소스의 임피던스에 매칭시킴으로써 생성된 하나 이상의 RF 신호들로부터 생성된다.
호스트 시스템 (363) 은 프로세서 (365) 및 저장 하드웨어 유닛 (399) 을 포함한다.
몇몇 실시예들에서, 알려진 부하 (388) 는 RF 전송 라인 (287) 에 접속되지 않고서 출력부 (283) 에 접속된다. 다양한 실시예들에서, 알려진 부하 (388) 는 RF 전송 라인 (287) 의 일부에 접속된다. 예를 들어서, 알려진 부하 (388) 는 (도 4의) RF 로드 (142) 에 접속된다. 다른 실례로서, 알려진 부하 (388) 는 (도 4의) RF 스트랩 (144) 에 접속된다.
도 25는 플라즈마 시스템 (441) 에서 오동작 장치를 결정하기 위한 플라즈마 시스템 (441) 의 실시예의 블록도이다. 플라즈마 시스템 (441) 은 처리부 (404) 및 전력 전달부 (406) 를 포함한다. 처리부 (404) 는 호스트 시스템 (363) 을 제외하면 플라즈마 시스템 (441) 의 일부이며 전력 전달부 (406) 는 호스트 시스템 (363) 을 제외하면 플라즈마 시스템 (441) 의 임의의 나머지 일부이다. 이 전력 전달부 (406) 및 처리부 (404) 는 플라즈마 모듈의 부분들이다.
전력 전달부 (406) 의 실례는 m MHz RF 생성기, n MHz RF 생성기, (도 24의) 케이블 (376), 케이블 (378), 임피던스 매칭 회로 (115), RF 전송 라인 (287), 및/또는 (도 7의) 필터들 (208) 을 포함한다. 예를 들어서, 전력 전달부 (406) 는 m MHz RF 생성기와 케이블 (376) 의 결합을 포함한다. 다른 실례로서, 전력 전달부 (406) 는 m MHz RF 생성기와 케이블 (376) 과 이 케이블 (376) 에 접속된 임피던스 매칭 회로 (115) 의 결합을 포함한다. 또 다른 실례로서, 전력 전달부 (406) 는 m MHz RF 생성기와 m MHz RF 생성기에 접속된 케이블 (376) 과 임피던스 매칭 회로 (115) 와 임피던스 매칭 회로 (115) 를 (도 11의) 플라즈마 챔버 (134) 에 접속시키는 RF 전송 라인 (287) 의 결합을 포함한다. 또 다른 실례로서, 전력 전달부 (406) 는 m MHz RF 생성기와 m MHz RF 생성기에 접속된 케이블 (376) 과 임피던스 매칭 회로 (115) 와 임피던스 매칭 회로 (115) 를 (도 11의) 플라즈마 챔버 (134) 에 접속시키는 RF 전송 라인 (287) 의 부분 의 결합을 포함한다.
또 다른 실례로서, 전력 전달부 (406) 는 m MHz RF 생성기와 (도 7의) 필터 (202) 및/또는 필터 (208) 의 결합을 포함한다. 다른 실례로서, 전력 전달부 (406) 는 m MHz RF 생성기와 (도 7의) 필터 (202) 및/또는 필터 (208) 와 케이블 (376) 의 결합을 포함한다. 또 다른 실례로서, 전력 전달부 (406) 는 m MHz RF 생성기와 (도 7의) 필터 (202) 및/또는 필터 (208) 와 케이블 (376) 과 임피던스 매칭 회로 (115) 의 결합을 포함한다. 또 다른 실례로서, 전력 전달부 (406) 는 m MHz RF 생성기와 (도 7의) 필터 (202) 및/또는 필터 (208) 와 케이블 (376) 과 임피던스 매칭 회로 (115) 와 RF 전송 라인 (287) 의 결합을 포함한다. 또 다른 실례로서, 전력 전달부 (406) 는 m MHz RF 생성기와 (도 7의) 필터 (202) 및/또는 필터 (208) 와 케이블 (376) 과 임피던스 매칭 회로 (115) 와 RF 전송 라인 (287) 의 일부 의 결합을 포함한다.
처리부 (404) 의 실례는 (도 7의) 플라즈마 챔버 (135), 필터 (202), 필터 (205), RF 전송 라인 (287), 임피던스 매칭 회로 (115), 케이블 (376) 및/또는 케이블 (378) (도 7 참조) 을 포함한다. 예를 들어서, 처리부 (404) 는 (도 7의) 플라즈마 챔버 (135) 를 포함한다. 또 다른 실례로서, 처리부 (404) 는 플라즈마 챔버 (135) 와 이 플라즈마 챔버에 접속된 RF 전송 라인 (287) 의 결합을 포함한다. 또 다른 실례로서, 처리부 (404) 는 플라즈마 챔버 (135) 와 RF 전송 라인 (287) 과 이 RF 전송 라인 (287) 에 접속된 임피던스 매칭 회로 (115) 의 결합을 포함한다. 또 다른 실례로서, 처리부 (404) 는 플라즈마 챔버 (135) 와 RF 전송 라인 (287) 과 임피던스 매칭 회로 (115) 와 임피던스 매칭 회로 (115) 에 접속된 케이블 (376) 의 결합을 포함한다.
또 다른 실례로서, 처리부 (404) 는 (도 7의) 플라즈마 챔버 (135) 와 (도 22의) 필터 (202) 및/또는 필터 (208) 의 결합을 포함한다. 또 다른 실례로서, 처리부 (404) 는 플라즈마 챔버 (135) 와 필터 (202) 및/또는 필터 (208) 와 RF 전송 라인 (287) 의 결합을 포함한다. 또 다른 실례로서, 처리부 (404) 는 플라즈마 챔버 (135) 와 필터 (202) 및/또는 필터 (208) 와 RF 전송 라인 (287) 과 임피던스 매칭 회로 (115) 의 결합을 포함한다. 또 다른 실례로서, 처리부 (404) 는 플라즈마 챔버 (135) 와 필터 (202) 및/또는 필터 (208) 와 RF 전송 라인 (287) 과 임피던스 매칭 회로 (115) 와 케이블 (376) 의 결합을 포함한다. 다른 실례로서, 처리부 (404) 는 플라즈마 챔버 (135) 와 필터 (202) 및/또는 필터 (208) 와 전력 전달부 (406) 내에 있는 않는 RF 전송 라인 (287) 의 나머지 부분의 결합을 포함한다.또 다른 실례로서, 처리부 (404) 는 플라즈마 챔버 (135) 와 전력 전달부 (406) 내에 있는 않는 RF 전송 라인 (287) 의 나머지 부분의 결합을 포함한다.
전력 전달부 (406) 는 입력부 (471) 및 출력부 (473) 를 포함한다. 몇몇 실시예들에서, 입력부 (471) 는 호스트 시스템 (363) 에 접속된다. 예를 들어서, 신호들이 입력부 (471) 를 통해서 전력 전달부 (406) 와 호스트 시스템 (363) 간에서 전달된다. 몇몇 실시예들에서, 입력부 (471) 는 전력 전달부 (406) 와 호스트 시스템 (363) 간의 통신을 용이하게 하는 아날로그 대 디지털 변환기 및 디지털 대 아날로그 변환기를 포함한다.
전력 전달부 (406) 는 처리부 (404) 의 입력부 (475) 에 접속된 출력부 (473) 를 포함한다. 전력 전달부 (406) 의 출력부 (473) 의 실례는 (도 11의) 임피던스 매칭 회로 (115)의 출력부 (283), (도 11의) ESC (192) 의 입력부 (285), (도 24의) RF 전송 라인 (287) 상의 일 지점 등을 포함한다. 처리부 (404) 의 입력부 (475) 의 실례는 (도 11의) 임피던스 매칭 회로 (115)의 출력부 (283), (도 11의) ESC (192) 의 입력부 (285), (도 24의) RF 전송 라인 (287) 상의 일 지점 등을 포함한다. RF 신호들은 출력부 (473) 및 입력부 (475) 를 통해서 전력 전달부 (406) 및 처리부 (404) 간에서 전달된다. 예를 들어서, 처리부 (404) 는 출력부 (473) 및 입력부 (475) 를 통해서 전력 전달부 (406) 로부터 RF 신호들을 수신한다.
처리부 (404) 는 출력부 (477) 를 포함한다. 이 출력부 (477) 의 실례는 (도 11의) ESC (263) 의 출력부를 포함한다. 이 출력부 (477) 의 또 다른 실례는 (도 11의) ESC (192) 의 입력부 (285) 를 포함한다.
도 26a는 (도 25의) 플라즈마 시스템 (441) 에서 오동작 장치를 결정하기 위한 방법 (392) 의 실시예의 흐름도이다. 이 방법 (392) 은 플라즈마 시스템 (441) 의 예를 들어서 전력 전달부 (406) 내의 (도 25의) 프로세서 (365), DSP (미도시) 등과 같은 하나 이상의 프로세서들에 의해서 실행된다. 오동작 장치의 실례는 처리부 (404) 내의 장치 및 전력 전달부 (406) 내의 장치를 포함한다. 플라즈마 시스템 (441) 내에서, RF 전송 라인, RF 케이블, RF 전송 라인의 일부, 임피던스 매칭 회로, RF 생성기 또는 이들의 조합이 오동작할 수 있다.
동작 (481) 에서, (도 25의) 플라즈마 시스템 (441) 의 플라즈마 챔버 내에서 플라즈마가 생성, 예를 들어서 스트라이킹 (striking) 되는지의 여부를 알리는 알림이 플라즈마 시스템 (441) 의 센서로부터 수신된다. 실례로서, 플라즈마 시스템 (441) 의 센서는 플라즈마가 생성된 후에 변수 값을 감지 및 제공하고 플라즈마가 생성되기 이전에 변수 값을 감지 및 제공한다.
몇몇 실시예들에서, 플라즈마 시스템 (441) 의 센서는 m MHz RF 생성기 내에 있으면서 이 m MHz RF 생성기의 DSP에 접속된 전압 및 전류 프로브이다. 이러한 실시예들에서, 센서는 플라즈마 시스템 (441) 의 RF 생성기를 플라즈마 시스템 (441) 의 임피던스 매칭 회로에 접속시키는 RF 케이블에 접속된다.
플라즈마 시스템 (441) 의 DSP는 플라즈마 생성 이전 및 이후에 센서에 의해서 감지된 값들을 수신하여서 이를 프로세서 (365) 에 제공한다. 프로세서 (365) 는 플라즈마가 생성된지를 판정하기 위해서 변화치가 임계치를 초과하는지의 여부를 판정한다.
일 실시예에서, 처리부 (404) 내에 배치된 기판을 처리하는 동안에 플라즈마가 생성된다. 예를 들어서, 플라즈마는 기판을 세정, 기판 또는 그 상에 증착된 층을 에칭, 기판 상에 층을 증착하는 등을 위해서 생성된다. 몇몇 실시예들에서, 플라즈마는 기판이 처리부 (404) 의 플라즈마 챔버에 존재하지 않을 때에 시스템 (441) 의 플라즈마 챔버 내에서 생성된다.
몇몇 실시예들에서, RF 신호를 생성하기 위해서 전력 값 및/또는 주파수 값이 호스트 시스템 (362) 에서 플라즈마 시스템 (441) 의 DAS로 제공되는 바를 알리는 확인 신호 (ack signal) 를 플라즈마 시스템 (441) 의 예를 들어서 DSP 등과 같은 프로세서로부터 수신하면 플라즈마가 생성된다고 판정한다.
다양한 실시예들에서, 플라즈마가 생성된 바를 알리는 신호를 플라즈마 시스템 (441) 의 DSP로부터 수신하면 플라즈마가 생성된다고 판정된다.
몇몇 실시예들에서, RF 신호가 RF 생성기에 의해서 플라즈마 챔버로 전송됨을 알리는 알림이 플라즈마 시스템 (441) 의 RF 생성기의 DSP로부터 수신되면, 플라즈마 시스템 (441) 의 플라즈마 챔버 내에서 플라즈마가 생성된다고 판정된다.
동작 (483) 에서, 플라즈마 시스템 (441) 이 한정 사항들 내에서 동작하는지의 여부가 판정된다. 이러한 한정 사항들의 실례는 상기 플라즈마 시스템 (441) 의 플라즈마 챔버와 연관된 기준 사항, 상기 플라즈마 시스템 (441) 의 상기 RF 전송 라인과 연관된 기준 사항, 상기 플라즈마 시스템 (441) 의 상기 RF 전송 라인의 일부와 연관된 기준 사항, 상기 플라즈마 시스템 (441) 의 RF 생성기를 상기 플라즈마 시스템 (441) 의 상기 임피던스 매칭 회로에 접속시키는 RF 케이블과 연관된 기준 사항, 및/또는 상기 RF 생성기와 연관된 기준 사항을 포함한다. 이 기준 사항이 플라즈마 시스템의 일 장치의 입력부, 이 장치 내의 일 노드 또는 이 장치의 출력부에서 측정된 값과 비교될 때에 이 기준 사항은 플라즈마 시스템의 이 장치와 연관된다.
예를 들어서, 프로세서 (365) 는 플라즈마 시스템 (441) 의 DSP를 통해서 플라즈마 시스템 (441) 의 센서로부터 수신된 전압 및/또는 전류 값로부터 플라즈마 시스템 (441) 의 일 장치의 출력부에서의 변수 값을 결정하고 이 값이 상기 출력에 대해 사전 저장된 변수 값의 예를 들어서 0 내지 20 퍼센트 등의 윈도우 내에 있는지의 여부를 판정한다. 이 출력부에서 감지된 변수 값 및 사전 저장된 값에 기초하여서 플라즈마 시스템 (441) 이 한정 사항들 내에서, 예를 들어서 사전 설정된 임계치 내에서 동작하는지의 여부가 판정된다.
마찬가지로, 플라즈마 시스템 (441) 의 센서가 플라즈마 시스템 (441) 의 일 장치의 입력부, 이 장치의 출력부 또는 이 장치 내의 일 노드에서의 변수 값을 측정하고 이를 프로세서 (365) 에 제공한다. 프로세서 (365) 는 상기 장치의 입력부, 이 장치의 출력부 또는 이 장치 내의 일 노드에서 감지된 값에 기초하여서 플라즈마 시스템 (441) 이 한정 사항 내에서 동작하는지의 여부를 판정한다.
몇몇 실시예들에서, 프로세서 (365) 는 플라즈마 시스템 (441) 의 장치들의 하나 이상의 입력부들, 이 장치들의 하나 이상의 출력부들 및/또는 이 장치들 내의 하나 이상의 노드들에서의 감지된 변수 값들을 수신하여서 이 감지된 값들로부터 예를 들어서 평균, 가중치 평균, 메디안 등과 같은 통계치를 계산한다. 하나 이상의 센서들이 프로세서 (365) 에 접속된다. 이 계산된 통계치 및 사전 저장된 통계치에 기초하여서, 프로세서 (365) 는 (도 23a의) 동작 (356) 을 참조하여서 상술한 바와 유사한 방식으로 한정 사항들 내에서 플라즈마 시스템 (441) 이 동작하는지의 여부를 판정한다.
플라즈마 시스템 (441) 이 한정 사항 내에서 동작한다면 판정되면, 동작 (481) 이 반복된다. 예를 들어서, 플라즈마 시스템 (441) 의 프로세서는 플라즈마 시스템 (441) 의 플라즈마 챔버 내에서 플라즈마가 생성됨을 알리는 알림을 수신한다.
몇몇 실시예에서, 동작 (481) 을 반복하는 대신에, 플라즈마 시스템 (441) 이 한정 사항 내에서 동작한다면 판정되면, 동작 (483) 이 반복된다. 예를 들어서, 플라즈마 시스템 (441) 의 프로세서는 플라즈마 시스템 (441) 이 한정 사항들 내에서 동작하는지의 여부를 계속 체크한다.
이와 달리, 플라즈마 시스템 (441) 이 한정 사항 내에서 동작하지 않는다고 판정되면, 동작 (402) 에서, 프로세서 (365) 는 전력 전달부 (406) 로부터 처리부 (404) 를 분리하도록 하는 인스트럭션을 생성 및 제공한다. 이 인스트럭션은 전력 전달부 (406) 의 (도 25의) 출력부 (473) 로부터 처리부 (404) 를 분리하도록 하는 메시지의 형태로 (도 22의) 사람 (435) 에게 호스트 시스템 (363) 의 GPU (그래픽 처리 유닛) 에 의해서 표시된다. GPU는 버스를 통해서 프로세서 (365) 에 접속된다. 이 메시지를 보고서, 사람 (435) 은 처리부 (404) 를 전력 전달부 (406) 로부터 분리시킨다. 처리부 (404) 가 전력 전달부 (406) 로부터 분리되면, 이 처리부 (404) 와 전력 전달부 (406) 간의 RF 신호 전달이 손실된다.
동작 (408) 에서, (도 24의) 알려진 부하 (388) 를 전력 전달부 (406) 의 출력부 (473) 에 접속시키라는 인스트럭션이 호스트 시스템 (363) 의 GPU에 전달된다. 이 인스트럭션은 실현되어서 (도 24의) 알려진 부하 (388) 를 전력 전달부 (406) 의 출력부 (473) 에 접속하라는 메시지가 사용자에게 표시되게 한다. 이 메시지를 보고서, 사용자는 예를 들어서 RF 케이블, RF 스트랩 등과 같은 전송 매체를 통해서 (도 24의) 알려진 부하 (388) 를 전력 전달부 (406) 의 출력부 (473) 에 접속시킨다.
동작 (410) 에서, (도 24의) 알려진 부하 (388) 에 접속된 전력 전달부 (406) 의 출력부 (473) 에서의 변수 값이 결정된다. 예를 들어서, 전압 및 전류 프로브, NIST 프로브 등과 같은 센서 (미도시) 가 전력 전달부 (406) 의 출력부 (473) 에 접속되어서 변수 값을 측정한다. 센서는 프로세서 (365) 에 접속되어서 이 감지된 값을 이에 제공한다. 다른 실례로서, 프로세서 (365) 는 플라즈마 시스템 (441) 의 RF 생성기 내의 센서에 의해서 감지된 변수 값 및 플라즈마 시스템 (441) 의 임피던스 매칭 회로의 임피던스로부터 전력 전달부 (406) 의 출력부 (473) 에서의 변수 값을 결정한다. 플라즈마 시스템 (441) 의 임피던스 매칭 회로의 임피던스는 저장 하드웨어 유닛 (399) 내에 저장된다. 프로세서 (365) 는 RF 생성기의 출력부에서 측정된 임피던스의 값과 및 플라즈마 시스템 (441) 의 임피던스 매칭 회로의 임피던스의 값의 합으로서 상기 변수 값을 결정한다. 일 실시예에서, 프로세서 (365) 는 플라즈마 시스템 (441) 의 RF 생성기 내의 센서에 의해서 측정된 변수 값, RF 생성기를 임피던스 매칭 회로에 접속시키는 RF 케이블의 임피던스 값, 및 플라즈마 시스템 (441) 의 임피던스 매칭 회로의 임피던스 값으로부터 전력 전달부 (406) 의 출력부 (473) 에서의 변수 값을 결정한다. 몇몇 실시예들에서, 프로세서 (365) 는 플라즈마 시스템 (441) 의 RF 생성기 내의 센서에 의해서 측정된 변수 값, RF 생성기를 플라즈마 시스템 (441) 의 임피던스 매칭 회로에 접속시키는 RF 케이블의 임피던스 값, 플라즈마 시스템 (441) 의 임피던스 매칭 회로의 임피던스 값 및 플라즈마 시스템 (441) 의 RF 전송 라인의 적어도 일부의 임피던스 값으로부터 전력 전달부 (406) 의 출력부 (473) 에서의 변수 값을 결정한다. 몇몇 실시예들에서, 프로세서 (365) 는 플라즈마 시스템 (441) 의 RF 생성기 내의 센서에 의해서 측정된 변수 값, RF 생성기를 플라즈마 시스템 (441) 의 임피던스 매칭 회로에 접속시키는 RF 케이블의 임피던스 값, 플라즈마 시스템 (441) 의 임피던스 매칭 회로의 임피던스 값 및 플라즈마 시스템 (441) 의 RF 전송 라인의 임피던스 값으로부터 전력 전달부 (406) 의 출력부 (473) 에서의 변수 값을 결정한다.
몇몇 실시예들에서, 동작들 (402 및 408) 은 수행되지 않는다. 대신에, 플라즈마 시스템 (441) 이 한정 사항 내에서 동작하지 않는다고 판정된바를 알리는 알림을 수신하면, (도 22의) 사람 (435) 은 처리부 (404) 를 전력 전달부 (406) 의 출력부 (473) 로부터 분리하고 알려진 부하 (388) 를 전력 전달부 (406) 의 출력부 (473) 에 접속시킨다. 동작 (410) 은 알려진 부하 (388) 를 출력부 (473) 에 접속시킨 후에 수행된다.
도 26b는 도 26a의 연장부이다. 동작 (414) 에서, 동작 (410) 에서 결정된 값이 예를 들어서 (도 25의) 저장 하드웨어 유닛 (399) 내에 저장된 값, 사전 설정된 방식을 따르는 프로브를 사용하여서 생성된 값, (도 2의) 동작 (116) 에서 결정된 제 2 복소 전압 및 전류, (도 2의) 동작 (119) 에서 결정된 제 3 복소 전압 및 전류, (도 13의) 동작 (342) 에서 결정된 웨이퍼 바이어스, 상술한 바와 같이 결정된 이온 에너지 등과 같은 사전 기록된 값과 비교되어서 상기 결정된 값이 사전 기록된 값의 범위 외에 있는지가 판정된다. 예를 들어서, 상기 결정된 값은 범위 VN±EN 외에 있는지가 판정된다. 범위 VN±EN 은 값 VN과 오차 EN 간의 차로부터 값 VN 과 오차 EN 의 합까지 이른다. 이 사전 결정된 범위 VN±EN 은 (도 25의) 저장 하드웨어 유닛 (399) 내에 저장된다. 저장 하드웨어 유닛 (399) 내에 저장된 값의 실례는 복소 전압 및 전류 값, 웨이퍼 바이어스 값, 이온 에너지 값, 또는 이들의 조합을 포함한다. 오차 EN의 실례는 값 VN의 분산, 값 VN의 표준 변차 등을 포함한다.
동작 (410) 에서 결정된 값이 사전 기록된 값 VN의 범위 외에 있다고 판정되면, 동작 (416) 에서, 오동작 장치는 전력 전달부 (406) 의 입력부와 전력 전달부 (406) 의 출력부 간에서 존재한다고 판정된다. 예를 들어서, 오동작 장치는 (도 22의) m MHz RF 생성기, n MHz RF 생성기, 케이블 (376), 케이블 (378), 임피던스 매칭 회로 (115), RF 전송 라인 (287) 의 적어도 일부, 필터 (202) 및/또는 필터 (208) 라고 판정된다.
이와 달리, 동작 (410) 에서 결정된 값이 사전 기록된 값 VN의 범위 내에 있다고 판정되면, 동작 (418) 에서, 오동작 장치는 처리부 (404) 의 입력부와 처리부 (404) 의 출력부 간에서 존재한다고 판정된다. 예를 들어서, 오동작 장치는 (도 11의) 플라즈마 챔버 (135), (도 24의) RF 전송 라인 (287) 의 일부, 임피던스 매칭 회로 (115), 필터 (202), 필터 (208), RF 케이블 (376) 및/또는 RF 케이블 (378) 이라고 판정된다. 동작들 (416,418) 후에, (도 26a의) 동작 (481) 이 반복된다.
일 실시예에서, (도 25의) 플라즈마 시스템 (441) 의 전력 전달부 (406) 의 입력부는 라즈마 시스템 (441) 의 전력 전달부 (406) 하나 이상의 RF 생성기들의 입력부이다. 예를 들어서, 전력 전달부 (406) 가 (도 24의) m MHz RF 생성기, 케이블 (376), 및 임피던스 매칭 회로 (115) 를 포함하면, 전력 전달부 (406) 의 입력부는 m MHz RF 생성기의 입력부이다. 다른 실례로서, 전력 전달부 (406) 가 (도 24의) m MHz RF 생성기, 케이블 (376), n MHz RF 생성기, 케이블 (378) 및 임피던스 매칭 회로 (115) 를 포함하면, 전력 전달부 (406) 의 입력부는 m MHz RF 생성기의 입력부 및/또는 n MHz RF 생성기의 입력부이다.
또한, 몇몇 실시예들에서, 전력 전달부 (406) 의 출력부는 전력 전달부 (406) 내에 있으면서 RF 신호의 경로를 따라서 위치한 장치들에 기초한다. RF 신호의 경로의 실례는 RF 생성기로부터 케이블, 임피던스 매칭 회로, RF 전송 라인을 통해서 플라즈마 챔버로이다. 본 실례에서, 케이블은 RF 생성기를 임피던스 매칭 회로에 접속시키며 RF 전송 라인은 임피던스 매칭 회로를 플라즈마 챔버로 접속시킨다. 예를 들어서, 전력 전달부 (406) 가 m MHz RF 생성기 및 케이블 (376) 을 포함할 때에, 전력 전달부 (406) 의 출력부는 케이블 (406) 의 출력부이다. 다른 실례로서, 전력 전달부 (406) 가 m MHz RF 생성기, 케이블 (376), n MHz RF 생성기 및 케이블 (378) 을 포함하면, 전력 전달부 (406) 의 출력부는 케이블 (376) 의 출력부 및/또는 케이블 (378) 의 출력부이다. 또 다른 실례로서, 전력 전달부 (406) 가 m MHz RF 생성기 및/또는 n MHz RF 생성기, 케이블 (376) 및/또는 케이블 (378), 임피던스 매칭 회로 (115) 및 (도 24의) RF 전송 라인 (287) 을 포함하면, 전력 전달부 (406) 의 출력부는 RF 전송 라인 (287) 의 출력부이다. 또 다른 실례로서, 전력 전달부 (406) 가 m MHz RF 생성기 및/또는 n MHz RF 생성기, 케이블 (376) 및/또는 케이블 (378), 임피던스 매칭 회로 (115), 필터 (202) 및/또는 필터 (208) 및 (도 24의) RF 전송 라인 (287) 을 포함하면, 전력 전달부 (406) 의 출력부는 RF 전송 라인 (287) 의 출력부이다. 또 다른 실례로서, 전력 전달부 (406) 가 m MHz RF 생성기 및/또는 n MHz RF 생성기, 케이블 (376) 및/또는 케이블 (378), 임피던스 매칭 회로 (115), 필터 (202) 및/또는 필터 (208) 및 (도 24의) RF 전송 라인 (287) 을 포함하면, 전력 전달부 (406) 의 출력부는 RF 전송 라인 (287) 의 출력부이다.
또한, 다양한 실시예들에서, 처리부 (404) 의 출력부는 처리부 (406) 내에 있으면서 RF 신호의 경로를 따라서 위치한 장치들에 기초한다. 예를 들어서, 처리부 (404) 가 플라즈마 챔버 (135) (도 11) 를 포함하면, 처리부 (404) 의 입력부는 (도 11의) 입력부 (285) 이다. 다른 실례로서, 처리부 (404) 가 플라즈마 챔버 (135) 및 RF 전송 라인 (287) 를 포함하면, 처리부 (404) 의 입력부는 RF 전송 라인 (287) 의 입력부이다. 또 다른 실례로서, 처리부 (404) 가 플라즈마 챔버 (135), RF 전송 라인 (287) 및 필터 (202) 및/또는 필터 (208) 를 포함하면, 처리부 (404) 의 입력부는 RF 전송 라인 (287) 의 입력부이다. 또 다른 실례로서, 처리부 (404) 가 플라즈마 챔버 (135), RF 전송 라인 (287) 및 임피던스 매칭 회로 (115) (도 22 참조) 를 포함하면, 처리부 (404) 의 입력부는 임피던스 매칭 회로 (115) 의 입력부이다. 또 다른 실례로서, 처리부 (404) 가 플라즈마 챔버 (135), RF 전송 라인 (287), (도 7의) 필터 (202) 및/또는 필터 (208) 및 (도 24의) 임피던스 매칭 회로 (115) 를 포함하면, 처리부 (404) 의 입력부는 임피던스 매칭 회로 (115) 의 입력부이다. 또 다른 실례로서, 처리부 (404) 가 플라즈마 챔버 (135), RF 전송 라인 (360), 임피던스 매칭 회로 (115) 및 (도 24의) 케이블 (376) 및/또는 케이블 (378) 을 포함하면, 처리부 (404) 의 입력부는 케이블 (376) 의 입력부 및/또는 케이블 (378) 의 입력부를 포함한다. 또 다른 실례로서, 처리부 (404) 가 플라즈마 챔버 (135), RF 전송 라인 (287), 임피던스 매칭 회로 (115), 필터 (202) 및/또는 필터 (208) 및 (도 24의) 케이블 (376) 및/또는 케이블 (378) 을 포함하면, 처리부 (404) 의 입력부는 케이블 (376) 의 입력부 및/또는 케이블 (378) 의 입력부를 포함한다.
상술한 동작들이 예를 들어서 용량 결합형 플라즈마 챔버와 같은 병렬 플레이트 플라즈마 챔버를 참조하여서 기술되었지만, 몇몇 실시예들에서, 상술된 동작들은 예를 들어서 유도 결합형 플라즈마 (ICP) 반응기, TCP (transformer coupled plasma) 반응기, 도전체 툴, 유전체 툴, ECR (electron-cyclotron resonace) 을 포함하는 플라즈마 챔버 등과 같은 다른 타입의 플라즈마 챔버에도 적용될 수 있다. 예를 들어서, x MHz RF 생성기 및 x MHz RF 생성기는 ICP 플라즈마 챔버 내의 인덕터에 접속된다.
상술한 동작들 중 몇몇은 (도 1 및 도 24의) 호스트 시스템의 프로세서에 의해서 수행되었지만, 몇몇 실시예들에서, 이러한 동작들은 호스트 시스템의 하나 이상의 프로세서들, 복수의 호스트 시스템들의 복수의 프로세서들, RF 생성기의 DSP 또는 복수의 RF 생성기들의 복수의 DSP들에 의해서 수행될 수 있다.
상술한 실시예들이 RF 신호를 ESC의 하부 전극에 제공하고 상부 전극을 접지시키는 바와 관련되지만, 몇몇 실시예들에서, 신호가 상부 전극에 제공되고 하부 전극이 접지될 수 있다.
본 명세서에서 기술된 실시예들은 휴대용 하드웨어 유닛, 마이크로프로세서 시스템, 마이크로프로세서 기반 또는 프로그래밍가능한 소비자 전자 장치, 미니컴퓨터, 메인프레임 컴퓨터 등을 포함하는 다양한 컴퓨터 시스템 구성들에서 실시될 수 있다. 본 발명은 또한 네트워크를 통해서 링크된 원격 프로세싱 장치들에 의해서 태스크들이 수행되는 분산형 컴퓨팅 환경에서 실시될 수도 있다.
상술한 실시들을 염두하면서, 본 발명은 컴퓨터 시스템들 내에 저장된 데이터를 참여케 하는 다양한 컴퓨터 구현 동작들을 사용할 수 있다. 이러한 동작들은 물리적 정량들의 물리적 조작을 요구하는 동작들이다. 본 발명의 일부를 형성하면서 본 명세서에서 개시된 동작들 중 임의의 것은 유용한 머신 동작들이다. 또한,본 발명은 이러한 동작들을 수행하기 위한 장치 또는 장치에 대한 것이다. 이 장치는 특정 목적용 컴퓨터와 같이 요구된 목적을 위해서 특정하게 구성될 수 있다. 특정 목적용 컴퓨터로서 규정될 때에, 컴퓨터는 여전히 이 특정 목적을 위해서 동작하면서 이 특정 목적이 일부가 아닌 다른 프로세싱, 프로그램 실행 또는 루틴들을 수행할 수 있다. 이와 달리, 동작들은 컴퓨터 메모리, 캐시 내에 저장되거나 네트워크를 통해서 획득된 하나 이상의 컴퓨터 프로그램들에 의해서 선택적으로 활성화 또는 구성되는 범용 컴퓨터에 의해서 처리될 수 있다. 데이터가 네트워크를 통해서 획득될 때에, 데이터는 컴퓨팅 리소스들의 클라우드와 같은 네트워크 상의 다른 컴퓨터들에 의해서 처리될 수 있다.
본 발명의 하나 이상의 실시예들은 컴퓨터 판독 가능한 매체 상의 컴퓨터 판독 가능한 코드로서 가공될 수 있다. 컴퓨터 판독 가능한 매체는 이후에 컴퓨터 시스템에 의해서 판독될 수 있는 데이터를 저장할 수 있는 임의의 데이터 저장 장치이다. 컴퓨터 판독 가능한 매체의 실례는 하드 드라이브, NAS (network attached storage), ROM, RAM, CD-ROM, CD-R, CD-RW, 자기 테이프 및 임의의 다른 광학적 데이터 저장 장치 및 비광학적 데이터 저장 장치를 포함한다. 컴퓨터 판독 가능한 매체는 컴퓨터 판독가능한 코드가 배포되는 방식으로 저장 및 실행되도록 네트워크-결합된 컴퓨터 시스템을 통해서 배포되는 컴퓨터 판독 가능한 유형의 매체를 포함할 수 있다.
도 2, 도 13, 도 15, 도 17, 도 23, 도 26a 및 도 26b의 흐름도에서의 방법 동작들이 특정 순서로 기술되었지만, 다른 하우스키핑 (housekeeping) 동작들이 동작들 간에 수행되거나, 동작들이 근소하게 상이한 시간에 발생하도록 조절되거나, 오버레이 (overlay) 동작들에 대한 프로세싱이 소망하는 방식으로 수행되는 한, 프로세싱과 연관된 다양한 인터벌에서 프로세싱 동작들이 발생하도록 하는 시스템 내에서 동작들이 분포할 수 있거나 동작들이 도면들에서 도시된 바와 상이한 순서로 수행될 수 있다.
상술한 실시예들은 ESC를 사용하여서 기술되었지만, 몇몇 실시예들에서, ESC 대신에, 예를 들어서 자기 척 등과 같은 다른 타입의 척이 사용될 수 있다.
임의의 실시예들의 하나 이상의 특징들은 본 개시에서 기술된 다양한 실시예들에서 기술된 범위를 벗어나지 않으면서 임의의 다른 실시예들의 하나 이상의 특징들과 조합될 수 있다.
전술한 발명은 이해의 명료성을 위해서 어느 정도 세부적으로 기술되었지만, 소정의 변경 및 수정이 첨부된 청구 범위 내에서 가능하다. 따라서, 본 실시예들은 한정적인 아닌 예시적으로 해석되어야 하며 본 발명은 본 명세서에서 제공된 세부 사항들로 한정되는 것이 아니라 첨부된 청구 범위 및 이의 균등 범위 내에서 수정될 수 있다.

Claims (23)

  1. 오동작 장치를 결정하는 시스템으로서,
    하나 이상의 플라즈마 처리 툴들 및 상기 하나 이상의 플라즈마 처리 툴들에 접속된 컴퓨팅 장치를 포함하며,
    상기 하나 이상의 플라즈마 처리 툴들 각각은 처리 대상 (work piece) 을 처리하기 위한 하나 이상의 플라즈마 모듈들을 포함하며,
    상기 하나 이상의 플라즈마 처리 툴들 각각은 상기 처리 대상을 2 개의 플라즈마 모듈들 간에서 전달하기 위한 전달 모듈을 포함하며,
    각 플라즈마 모듈은 전력 전달부 및 처리부를 포함하며,
    상기 전력 전달부는 플라즈마를 생성하기 위해 상기 처리부로 제공할 무선 주파수 (RF) 전력을 생성하며,
    상기 컴퓨팅 장치는 프로세서를 포함하며,
    상기 프로세서는,
    상기 플라즈마 모듈들 중 임의의 하나가 한정 사항들 내에서 동작하는지의 여부를 판정하고,
    상기 플라즈마 모듈이 상기 한정 사항들 내에서 동작하지 않는다고 판정되면, 상기 전력 전달부의 출력부에서의 변수의 값을 상기 전력 전달부가 알려진 부하 (known load) 에 접속된 때에 결정하고,
    상기 결정된 값이 상기 변수의 사전 기록된 값의 범위 외에 있는지가 판정되도록 상기 결정된 값을 상기 변수의 사전 기록된 값과 비교하고,
    상기 결정된 값이 상기 사전 기록된 값의 범위 외에 있다고 판정되면, 오동작 장치가 상기 전력 전달부의 입력부와 상기 전력 전달부의 출력부 간에 있다고 판정하는,
    오동작 장치 결정 시스템.
  2. 제 1 항에 있어서,
    상기 프로세서는 상기 결정된 값이 상기 사전 기록된 값의 범위 내에 있다고 판정되면, 상기 오동작 장치가 상기 처리부 내에 있다고 더 판정하는,
    오동작 장치 결정 시스템.
  3. 제 1 항에 있어서,
    상기 사전 기록된 값은 사전 설정된 방식을 따르는 프로브를 사용하여서 생성되고,
    상기 사전 기록된 값은 상기 프로브에 의해서 측정된 값을 상기 전력 전달부의 컴퓨터 생성 모델 (computer-generated model) 을 통해서 프로파게이팅 (propagating) 시킴으로써 생성되는,
    오동작 장치 결정 시스템.
  4. 제 1 항에 있어서,
    상기 전력 전달부는,
    무선 주파수 (RF) 생성기; 또는
    상기 무선 주파수 생성기와 하나 이상의 케이블━상기 하나 이상의 케이블은 상기 무선 주파수 생성기에 접속됨━의 결합; 또는
    상기 무선 주파수 생성기와 상기 하나 이상의 케이블과 임피던스 매칭 회로━상기 임피던스 매칭 회로는 상기 하나 이상의 케이블에 접속됨━의 결합; 또는
    상기 무선 주파수 생성기와 상기 하나 이상의 케이블과 상기 임피던스 매칭 회로와 RF 전송 라인의 적어도 일부━상기 RF 전송 라인은 상기 임피던스 매칭 회로를 플라즈마 챔버에 접속시킴━의 결합을 포함하는,
    오동작 장치 결정 시스템.
  5. 제 1 항에 있어서,
    상기 전력 전달부는,
    무선 주파수 생성기와 하나 이상의 필터━상기 하나 이상의 필터는 플라즈마 챔버에 접속됨━의 결합; 또는
    상기 무선 주파수 생성기와 상기 하나 이상의 필터와 하나 이상의 케이블━상기 하나 이상의 케이블은 상기 무선 주파수 생성기에 접속됨━의 결합; 또는
    상기 무선 주파수 생성기와 상기 하나 이상의 필터와 상기 하나 이상의 케이블과 임피던스 매칭 회로━상기 임피던스 매칭 회로는 상기 하나 이상의 케이블에 접속됨━의 결합; 또는
    상기 무선 주파수 생성기와 상기 하나 이상의 필터와 상기 하나 이상의 케이블과 상기 임피던스 매칭 회로와 RF 전송 라인의 적어도 일부━상기 RF 전송 라인은 상기 임피던스 매칭 회로를 상기 플라즈마 챔버에 접속시키며 상기 하나 이상의 필터는 상기 플라즈마 챔버에 접속됨━의 결합을 포함하는,
    오동작 장치 결정 시스템.
  6. 제 1 항에 있어서,
    상기 처리부는,
    플라즈마 챔버; 또는
    상기 플라즈마 챔버와 RF 전송 라인의 적어도 일부━상기 RF 전송 라인은 상기 플라즈마 챔버에 접속됨━의 결합; 또는
    상기 플라즈마 챔버와 상기 RF 전송 라인의 적어도 일부와 임피던스 매칭 회로━상기 임피던스 매칭 회로는 상기 RF 전송 라인에 접속됨━의 결합; 또는
    상기 플라즈마 챔버와 상기 RF 전송 라인의 적어도 일부와 상기 임피던스 매칭 회로와 하나 이상의 케이블━상기 하나 이상의 케이블은 상기 임피던스 매칭 회로에 접속됨━의 결합을 포함하는,
    오동작 장치 결정 시스템.
  7. 제 1 항에 있어서,
    상기 처리부는,
    플라즈마 챔버와 하나 이상의 필터━상기 하나 이상의 필터는 상기 플라즈마 챔버에 접속됨━의 결합; 또는
    상기 플라즈마 챔버와 상기 하나 이상의 필터와 RF 전송 라인의 적어도 일부━상기 RF 전송 라인은 상기 플라즈마 챔버에 접속됨━의 결합; 또는
    상기 플라즈마 챔버와 상기 하나 이상의 필터와 상기 RF 전송 라인의 적어도 일부와 임피던스 매칭 회로━상기 임피던스 매칭 회로는 상기 RF 전송 라인에 접속됨━의 결합; 또는
    상기 플라즈마 챔버와 상기 하나 이상의 필터와 상기 RF 전송 라인의 적어도 일부와 상기 임피던스 매칭 회로와 하나 이상의 케이블━상기 하나 이상의 케이블은 상기 임피던스 매칭 회로에 접속됨━의 결합을 포함하는,
    오동작 장치 결정 시스템.
  8. 플라즈마 시스템 내의 오동작 장치를 결정하는 방법으로서,
    플라즈마가 상기 플라즈마 시스템의 플라즈마 챔버 내에서 생성되는지의 여부를 알리는 알림을 수신하는 동작;
    상기 플라즈마가 생성된다는 알림을 수신하면, 상기 플라즈마 시스템이 한정 사항들 내에서 동작하는지의 여부를 판정하는 동작으로서, 상기 플라즈마 시스템이 상기 한정 사항들 내에서 동작하는지의 여부를 판정하는 동작은 상기 플라즈마 시스템이 상기 플라즈마 시스템의 플라즈마 챔버와 RF 생성기 간에 위치한 임피던스 매칭 회로를 포함할 때에 수행되며, 상기 플라즈마 시스템이 상기 한정 사항들 내에서 동작하는지의 여부를 판정하는 동작은 상기 플라즈마 시스템이 상기 임피던스 매칭 회로를 상기 플라즈마 챔버에 접속시키는 RF 전송 라인을 포함할 때에 수행되는, 상기 한정 사항들 내에서 동작하는지의 여부를 판정하는 동작;
    상기 플라즈마 시스템이 상기 한정 사항들 내에서 동작하지 않는다고 판정되면, 상기 RF 전송 라인의 노드에서의 변수의 값을 결정하는 동작으로서, 상기 변수의 값을 결정하는 동작은 상기 임피던스 매칭 회로가 알려진 부하에 접속된 때에 수행되는, 상기 변수의 값을 결정하는 동작;
    상기 결정된 값을 상기 변수의 사전 기록된 값과 비교하는 동작;
    상기 결정된 값이 상기 변수의 사전 기록된 값의 범위 외에 있는지를 판정하는 동작; 및
    상기 결정된 값이 상기 사전 기록된 값의 범위 외에 있다고 판정되면, 오동작 장치가 상기 RF 생성기의 입력부와 상기 노드 간에 있다고 판정하는 동작을 포함하며,
    상기 방법은 하나 이상의 프로세서에 의해서 실행되는,
    오동작 장치 결정 방법.
  9. 제 8 항에 있어서,
    상기 결정된 값이 상기 사전 기록된 값의 범위 내에 있다고 판정되면, 상기 오동작 장치가 상기 플라즈마 챔버 내 또는 상기 RF 전송 라인의 부분 내에 있다고 판정하는 동작을 더 포함하며,
    상기 RF 전송 라인의 부분은 상기 플라즈마 챔버와 상기 노드 간에 위치하는,
    오동작 장치 결정 방법.
  10. 제 8 항에 있어서,
    상기 플라즈마는 기판 처리 동안에 생성되는,
    오동작 장치 결정 방법.
  11. 제 10 항에 있어서,
    상기 기판은 전자 제품 (item) 내에서 구현되는,
    오동작 장치 결정 방법.
  12. 제 8 항에 있어서,
    상기 오동작 장치는 상기 RF 생성기, 또는 상기 임피던스 매칭 회로, 또는 상기 임피던스 매칭 회로와 상기 노드 간의 상기 RF 전송 라인의 부분, 또는 상기 RF 생성기 및 상기 임피던스 매칭 회로에 접속된 케이블을 포함하는,
    오동작 장치 결정 방법.
  13. 제 8 항에 있어서,
    상기 알림은 상기 플라즈마 챔버 내에서 플라즈마를 생성하기 위해 RF 신호를 상기 플라즈마 챔버로 전송하는 것인,
    오동작 장치 결정 방법.
  14. 제 8 항에 있어서,
    상기 한정 사항들은 상기 플라즈마 챔버와 연관된 기준 사항, 상기 RF 전송 라인과 연관된 기준 사항, 상기 임피던스 매칭 회로와 연관된 기준 사항, 상기 RF 생성기를 상기 임피던스 매칭 회로에 접속시키는 케이블과 연관된 기준 사항, 및 상기 RF 생성기와 연관된 기준 사항을 포함하는,
    오동작 장치 결정 방법.
  15. 제 8 항에 있어서,
    상기 임피던스 매칭 회로의 출력부에서의 변수의 값을 결정하는 동작은 상기 임피던스 매칭 회로가 상기 플라즈마 챔버로부터 분리된 때에 수행되는,
    오동작 장치 결정 방법.
  16. 제 8 항에 있어서,
    상기 사전 기록된 값은 NIST (National Institute of Standard and Technology) 프로브를 사용하여서 결정된 값을 포함하는,
    오동작 장치 결정 방법.
  17. 제 8 항에 있어서,
    상기 범위는 상기 사전 기록된 값에서 상기 사전 기록된 값 내의 오차까지 확장되는,
    오동작 장치 결정 방법.
  18. 제 8 항에 있어서,
    상기 사전 기록된 값은 사전 설정된 방식을 따르는 프로브를 사용하여서 생성되며,
    상기 사전 기록된 값은 상기 임피던스 매칭 회로 및 상기 노드와 상기 임피던스 매칭 회로 간의 상기 RF 전송 라인의 부분의 컴퓨터 생성 모델을 통해서 상기 프로브에 의해서 측정된 값을 프로파케이팅 (propagating) 시킴으로써 생성되는,
    오동작 장치 결정 방법.
  19. 플라즈마 시스템 내의 오동작 장치를 결정하는 방법으로서,
    플라즈마가 상기 플라즈마 시스템의 플라즈마 챔버 내에서 생성되는지의 여부를 알리는 알림을 수신하는 동작으로서, 상기 플라즈마 시스템은 처리부 및 전력 전달부를 포함하는, 상기 알림을 수신하는 동작;
    상기 플라즈마가 생성된다는 알림을 수신하면, 상기 플라즈마 시스템이 한정 사항들 내에서 동작하는지의 여부를 판정하는 동작;
    상기 처리부가 상기 전력 전달부로부터 분리된 때에 상기 전력 전달부의 출력부에서의 변수의 값을 결정하는 동작;
    상기 결정된 값을 상기 변수의 사전 기록된 값과 비교하는 동작;
    상기 결정된 값이 상기 변수의 사전 기록된 값의 범위 외에 있는지를 판정하는 동작; 및
    상기 결정된 값이 상기 사전 기록된 값의 범위 외에 있다고 판정되면, 오동작 장치가 상기 전력 전달부의 입력부와 상기 전력 전달부의 출력부 간에 있다고 판정하는 동작을 포함하는,
    오동작 장치 결정 방법.
  20. 제 19 항에 있어서,
    상기 결정된 값이 상기 사전 기록된 값의 범위 외에 있지 않다고 판정되면, 상기 오동작 장치가 상기 처리부의 입력부와 상기 처리부의 출력부 간에 있다고 판정하는 동작을 더 포함하는,
    오동작 장치 결정 방법.
  21. 제 19 항에 있어서,
    상기 전력 전달부의 출력부에서의 변수의 값을 결정하는 동작은 상기 출력부가 알려진 부하에 접속된 때에 수행되는,
    오동작 장치 결정 방법.
  22. 제 19 항에 있어서,
    상기 플라즈마는 기판 처리 동안에 생성되는,
    오동작 장치 결정 방법.
  23. 제 22 항에 있어서,
    상기 기판은 전자 제품 내에서 구현되는,
    오동작 장치 결정 방법.
KR1020140031108A 2013-03-15 2014-03-17 플라즈마 시스템에서 오동작 장치 결정 KR102162430B1 (ko)

Applications Claiming Priority (4)

Application Number Priority Date Filing Date Title
US201361801621P 2013-03-15 2013-03-15
US61/801,621 2013-03-15
US14/184,631 US9620337B2 (en) 2013-01-31 2014-02-19 Determining a malfunctioning device in a plasma system
US14/184,631 2014-02-19

Publications (2)

Publication Number Publication Date
KR20140113593A true KR20140113593A (ko) 2014-09-24
KR102162430B1 KR102162430B1 (ko) 2020-10-07

Family

ID=51222229

Family Applications (1)

Application Number Title Priority Date Filing Date
KR1020140031108A KR102162430B1 (ko) 2013-03-15 2014-03-17 플라즈마 시스템에서 오동작 장치 결정

Country Status (4)

Country Link
US (2) US9620337B2 (ko)
KR (1) KR102162430B1 (ko)
CN (1) CN104051215B (ko)
TW (1) TWI633810B (ko)

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180064280A (ko) * 2016-12-05 2018-06-14 램 리써치 코포레이션 다중 제어 모드

Families Citing this family (26)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US10325759B2 (en) * 2012-02-22 2019-06-18 Lam Research Corporation Multiple control modes
US9620337B2 (en) * 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
WO2014168876A2 (en) * 2013-04-08 2014-10-16 Perkinelmer Health Sciences, Inc. Capacitively coupled devices and oscillators
US10950421B2 (en) * 2014-04-21 2021-03-16 Lam Research Corporation Using modeling for identifying a location of a fault in an RF transmission system for a plasma system
US9667228B2 (en) * 2015-09-14 2017-05-30 Samsung Electronics Co., Ltd Apparatus for and method of programmable matching network for multiple signal types
KR20170103661A (ko) * 2016-03-04 2017-09-13 램 리써치 코포레이션 보다 저 주파수 rf 생성기의 기간 동안 보다 고 주파수 rf 생성기를 향하여 반사된 전력을 감소시키고 그리고 반사된 전력을 감소시키도록 관계를 사용하기 위한 시스템들 및 방법들
US10187032B2 (en) * 2016-06-17 2019-01-22 Lam Research Corporation Combiner and distributor for adjusting impedances or power across multiple plasma processing stations
US11004660B2 (en) * 2018-11-30 2021-05-11 Eagle Harbor Technologies, Inc. Variable output impedance RF generator
US10410836B2 (en) * 2017-02-22 2019-09-10 Lam Research Corporation Systems and methods for tuning to reduce reflected power in multiple states
JP6280677B1 (ja) * 2017-06-27 2018-02-14 キヤノンアネルバ株式会社 プラズマ処理装置
JP6457707B1 (ja) * 2017-06-27 2019-01-23 キヤノンアネルバ株式会社 プラズマ処理装置
KR102361377B1 (ko) * 2017-06-27 2022-02-10 캐논 아네르바 가부시키가이샤 플라스마 처리 장치
JP6595002B2 (ja) 2017-06-27 2019-10-23 キヤノンアネルバ株式会社 スパッタリング装置
CN108107376B (zh) * 2017-12-27 2019-12-13 江苏神州半导体科技有限公司 基于等离子环境的射频电源测试系统及测试方法
KR101918253B1 (ko) * 2018-01-26 2018-11-13 최운선 플라즈마 전원장치의 자가진단모듈 및 자가진단방법
KR102439024B1 (ko) 2018-06-26 2022-09-02 캐논 아네르바 가부시키가이샤 플라스마 처리 장치, 플라스마 처리 방법, 프로그램, 및 메모리 매체
US10504744B1 (en) * 2018-07-19 2019-12-10 Lam Research Corporation Three or more states for achieving high aspect ratio dielectric etch
US11004710B2 (en) * 2019-06-04 2021-05-11 Applied Materials, Inc. Wafer placement error detection based on measuring a current through an electrostatic chuck and solution for intervention
WO2021211582A1 (en) * 2020-04-13 2021-10-21 Advanced Energy Industries, Inc. Input impedance networks with power recovery
US20210358785A1 (en) * 2020-05-12 2021-11-18 Advanced Energy Industries, Inc. Event monitoring and characterization
US11756768B2 (en) 2020-12-25 2023-09-12 Daihen Corporation High-frequency power supply system
US11711022B2 (en) 2021-04-13 2023-07-25 Advanced Energy Industries, Inc. Series stack switch circuit with voltage clamping and power recovery
US11705822B2 (en) 2021-04-13 2023-07-18 Advanced Energy Industries, Inc. Voltage clamp and current clamp systems with power recovery
US11810760B2 (en) * 2021-06-16 2023-11-07 Applied Materials, Inc. Apparatus and method of ion current compensation
JP2023050839A (ja) 2021-09-30 2023-04-11 株式会社ダイヘン 高周波電源装置
JP2023097863A (ja) 2021-12-28 2023-07-10 株式会社ダイヘン 高周波電源システム

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003017296A (ja) * 2001-07-05 2003-01-17 Nisshin:Kk プラズマ密度情報測定方法及びその装置、並びにプラズマ密度情報測定用プローブ、プラズマ密度情報測定用記録媒体、プラズマ処理装置
KR20090028622A (ko) * 2006-06-07 2009-03-18 램 리써치 코포레이션 플라즈마 프로세싱 반응기의 오류 상태를 검출하기 위한 방법 및 장치
KR20110011616A (ko) * 2008-05-07 2011-02-08 어드밴스드 에너지 인더스트리즈 인코포레이티드 매칭 네트워크를 제어하기 위한 장치, 시스템 및 방법

Family Cites Families (155)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4377961A (en) 1979-09-10 1983-03-29 Bode Harald E W Fundamental frequency extracting system
DE3027828A1 (de) 1980-07-23 1982-03-04 Deutsche Itt Industries Gmbh, 7800 Freiburg Frequenz/phasenregelschleife
US4353777A (en) 1981-04-20 1982-10-12 Lfe Corporation Selective plasma polysilicon etching
US4457820A (en) 1981-12-24 1984-07-03 International Business Machines Corporation Two step plasma etching
US4420790A (en) 1982-04-02 1983-12-13 Honeywell Inc. High sensitivity variable capacitance transducer
US4454001A (en) 1982-08-27 1984-06-12 At&T Bell Laboratories Interferometric method and apparatus for measuring etch rate and fabricating devices
US4500563A (en) 1982-12-15 1985-02-19 Pacific Western Systems, Inc. Independently variably controlled pulsed R.F. plasma chemical vapor processing
US4855897A (en) 1987-07-13 1989-08-08 The Foxboro Company Method and apparatus for statistical set point bias control
DE3923662A1 (de) 1989-07-18 1991-01-24 Leybold Ag Schaltungsanordnung zum automatischen abstimmen eines anpassungsnetzwerks
US5788801A (en) 1992-12-04 1998-08-04 International Business Machines Corporation Real time measurement of etch rate during a chemical etching process
US5479340A (en) 1993-09-20 1995-12-26 Sematech, Inc. Real time control of plasma etch utilizing multivariate statistical analysis
US5980767A (en) 1994-02-25 1999-11-09 Tokyo Electron Limited Method and devices for detecting the end point of plasma process
US5556549A (en) 1994-05-02 1996-09-17 Lsi Logic Corporation Power control and delivery in plasma processing equipment
US5474648A (en) 1994-07-29 1995-12-12 Lsi Logic Corporation Uniform and repeatable plasma processing
US5989999A (en) 1994-11-14 1999-11-23 Applied Materials, Inc. Construction of a tantalum nitride film on a semiconductor wafer
US6042686A (en) 1995-06-30 2000-03-28 Lam Research Corporation Power segmented electrode
US5810963A (en) 1995-09-28 1998-09-22 Kabushiki Kaisha Toshiba Plasma processing apparatus and method
US5812361A (en) 1996-03-29 1998-09-22 Lam Research Corporation Dynamic feedback electrostatic wafer chuck
US5892198A (en) 1996-03-29 1999-04-06 Lam Research Corporation Method of and apparatus for electronically controlling r.f. energy supplied to a vacuum plasma processor and memory for same
US6110214A (en) 1996-05-03 2000-08-29 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5764471A (en) 1996-05-08 1998-06-09 Applied Materials, Inc. Method and apparatus for balancing an electrostatic force produced by an electrostatic chuck
US5689215A (en) 1996-05-23 1997-11-18 Lam Research Corporation Method of and apparatus for controlling reactive impedances of a matching network connected between an RF source and an RF plasma processor
US6048435A (en) 1996-07-03 2000-04-11 Tegal Corporation Plasma etch reactor and method for emerging films
US6246972B1 (en) 1996-08-23 2001-06-12 Aspen Technology, Inc. Analyzer for modeling and optimizing maintenance operations
US5737177A (en) 1996-10-17 1998-04-07 Applied Materials, Inc. Apparatus and method for actively controlling the DC potential of a cathode pedestal
US5866985A (en) 1996-12-03 1999-02-02 International Business Machines Corporation Stable matching networks for plasma tools
US5694207A (en) 1996-12-09 1997-12-02 Taiwan Semiconductor Manufacturing Company, Ltd. Etch rate monitoring by optical emission spectroscopy
US5889252A (en) 1996-12-19 1999-03-30 Lam Research Corporation Method of and apparatus for independently controlling electric parameters of an impedance matching network
US5894400A (en) 1997-05-29 1999-04-13 Wj Semiconductor Equipment Group, Inc. Method and apparatus for clamping a substrate
WO1999014699A1 (en) 1997-09-17 1999-03-25 Tokyo Electron Limited System and method for monitoring and controlling gas plasma processes
US6020794A (en) 1998-02-09 2000-02-01 Eni Technologies, Inc. Ratiometric autotuning algorithm for RF plasma generator
US6157867A (en) 1998-02-27 2000-12-05 Taiwan Semiconductor Manufacturing Company Method and system for on-line monitoring plasma chamber condition by comparing intensity of certain wavelength
US6198616B1 (en) 1998-04-03 2001-03-06 Applied Materials, Inc. Method and apparatus for supplying a chucking voltage to an electrostatic chuck within a semiconductor wafer processing system
WO1999063585A1 (fr) 1998-06-02 1999-12-09 Nikon Corporation Organe d'alignement de balayage, son procede de fabrication et procede de fabrication de dispositif
US6021672A (en) 1998-09-18 2000-02-08 Windbond Electronics Corp. Simultaneous in-situ optical sensing of pressure and etch rate in plasma etch chamber
JP4408313B2 (ja) 1999-10-29 2010-02-03 東京エレクトロン株式会社 プラズマ処理装置およびプラズマ処理方法
US7361287B2 (en) 1999-04-30 2008-04-22 Robert Bosch Gmbh Method for etching structures in an etching body by means of a plasma
US6431112B1 (en) 1999-06-15 2002-08-13 Tokyo Electron Limited Apparatus and method for plasma processing of a substrate utilizing an electrostatic chuck
US6528751B1 (en) 2000-03-17 2003-03-04 Applied Materials, Inc. Plasma reactor with overhead RF electrode tuned to the plasma
US6441555B1 (en) 2000-03-31 2002-08-27 Lam Research Corporation Plasma excitation coil
US6472822B1 (en) 2000-04-28 2002-10-29 Applied Materials, Inc. Pulsed RF power delivery for plasma processing
US6441620B1 (en) * 2000-06-20 2002-08-27 John Scanlan Method for fault identification in a plasma process
US7137354B2 (en) 2000-08-11 2006-11-21 Applied Materials, Inc. Plasma immersion ion implantation apparatus including a plasma source having low dissociation and low minimum plasma voltage
JP4240259B2 (ja) 2000-08-21 2009-03-18 富士電機システムズ株式会社 プラズマ電位測定方法と測定用プローブ
US6492774B1 (en) 2000-10-04 2002-12-10 Lam Research Corporation Wafer area pressure control for plasma confinement
US7871676B2 (en) 2000-12-06 2011-01-18 Novellus Systems, Inc. System for depositing a film by modulated ion-induced atomic layer deposition (MII-ALD)
US7019543B2 (en) 2001-03-16 2006-03-28 Tokyo Electron Limited Impedance monitoring system and method
US6522121B2 (en) 2001-03-20 2003-02-18 Eni Technology, Inc. Broadband design of a probe analysis system
IE20010288A1 (en) 2001-03-23 2002-10-02 Scient Systems Res Ltd Endpoint Detection in the Etching of Dielectric Layers
US7096819B2 (en) 2001-03-30 2006-08-29 Lam Research Corporation Inductive plasma processor having coil with plural windings and method of controlling plasma density
US6750711B2 (en) 2001-04-13 2004-06-15 Eni Technology, Inc. RF power amplifier stability
US6669783B2 (en) 2001-06-28 2003-12-30 Lam Research Corporation High temperature electrostatic chuck
US6727655B2 (en) 2001-10-26 2004-04-27 Mcchesney Jon Method and apparatus to monitor electrical states at a workpiece in a semiconductor processing chamber
JP4006982B2 (ja) 2001-11-16 2007-11-14 セイコーエプソン株式会社 プリンタ及びプリンタユニット
WO2003055286A1 (fr) 2001-12-10 2003-07-03 Tokyo Electron Limited Source de puissance haute frequence et son procede de commande, et processeur a plasma
US20030119308A1 (en) 2001-12-20 2003-06-26 Geefay Frank S. Sloped via contacts
US7480571B2 (en) 2002-03-08 2009-01-20 Lam Research Corporation Apparatus and methods for improving the stability of RF power delivery to a plasma load
JP2003282545A (ja) 2002-03-26 2003-10-03 Seiko Epson Corp 半導体装置の製造方法及びプラズマ処理装置
AU2003224727A1 (en) 2002-03-28 2003-10-13 Tokyo Electron Limited A system and method for determining the state of a film in a plasma reactor using an electrical property
US7505879B2 (en) 2002-06-05 2009-03-17 Tokyo Electron Limited Method for generating multivariate analysis model expression for processing apparatus, method for executing multivariate analysis of processing apparatus, control device of processing apparatus and control system for processing apparatus
WO2004003969A2 (en) 2002-06-28 2004-01-08 Tokyo Electron Limited Method and system for predicting process performance using material processing tool and sensor data
US20040028837A1 (en) 2002-06-28 2004-02-12 Tokyo Electron Limited Method and apparatus for plasma processing
US6664166B1 (en) 2002-09-13 2003-12-16 Texas Instruments Incorporated Control of nichorme resistor temperature coefficient using RF plasma sputter etch
US20040060660A1 (en) 2002-09-26 2004-04-01 Lam Research Inc., A Delaware Corporation Control of plasma density with broadband RF sensor
US6873114B2 (en) 2002-09-26 2005-03-29 Lam Research Corporation Method for toolmatching and troubleshooting a plasma processing system
TW200420201A (en) 2002-12-16 2004-10-01 Japan Science & Tech Agency Plasma generation device, plasma control method and substrate manufacturing method
US20040127031A1 (en) 2002-12-31 2004-07-01 Tokyo Electron Limited Method and apparatus for monitoring a plasma in a material processing system
JP2004239211A (ja) 2003-02-07 2004-08-26 Denso Corp 吸気モジュール
US6781317B1 (en) 2003-02-24 2004-08-24 Applied Science And Technology, Inc. Methods and apparatus for calibration and metrology for an integrated RF generator system
JP2004335594A (ja) 2003-05-02 2004-11-25 Matsushita Electric Ind Co Ltd プラズマ処理装置
US7247218B2 (en) 2003-05-16 2007-07-24 Applied Materials, Inc. Plasma density, energy and etch rate measurements at bias power input and real time feedback control of plasma source and bias power
US7795153B2 (en) 2003-05-16 2010-09-14 Applied Materials, Inc. Method of controlling a chamber based upon predetermined concurrent behavior of selected plasma parameters as a function of selected chamber parameters
US6862557B2 (en) 2003-06-12 2005-03-01 Lam Research Corporation System and method for electronically collecting data in a fabrication facility
US7169625B2 (en) 2003-07-25 2007-01-30 Applied Materials, Inc. Method for automatic determination of semiconductor plasma chamber matching and source of fault by comprehensive plasma monitoring
US7625460B2 (en) 2003-08-01 2009-12-01 Micron Technology, Inc. Multifrequency plasma reactor
US7405521B2 (en) 2003-08-22 2008-07-29 Lam Research Corporation Multiple frequency plasma processor method and apparatus
US7042311B1 (en) 2003-10-10 2006-05-09 Novellus Systems, Inc. RF delivery configuration in a plasma processing system
JP2005130198A (ja) 2003-10-23 2005-05-19 Ulvac Japan Ltd 高周波装置
US7838430B2 (en) 2003-10-28 2010-11-23 Applied Materials, Inc. Plasma control using dual cathode frequency mixing
JP3768999B2 (ja) 2003-10-29 2006-04-19 澄英 池之内 プラズマ処理装置とその制御方法
US7190119B2 (en) 2003-11-07 2007-03-13 Lam Research Corporation Methods and apparatus for optimizing a substrate in a plasma processing system
US6983215B2 (en) 2003-12-02 2006-01-03 Mks Instruments, Inc. RF metrology characterization for field installation and serviceability for the plasma processing industry
US7879185B2 (en) 2003-12-18 2011-02-01 Applied Materials, Inc. Dual frequency RF match
US7157857B2 (en) 2003-12-19 2007-01-02 Advanced Energy Industries, Inc. Stabilizing plasma and generator interactions
US6972524B1 (en) 2004-03-24 2005-12-06 Lam Research Corporation Plasma processing system control
JP2005284046A (ja) 2004-03-30 2005-10-13 Kumamoto Univ パターンずれ量検出方法及び露光装置
US7435926B2 (en) 2004-03-31 2008-10-14 Lam Research Corporation Methods and array for creating a mathematical model of a plasma processing system
US20050241762A1 (en) 2004-04-30 2005-11-03 Applied Materials, Inc. Alternating asymmetrical plasma generation in a process chamber
KR101144018B1 (ko) 2004-05-28 2012-05-09 램 리써치 코포레이션 복수 rf 주파수에 반응하는 전극을 갖는 플라즈마 처리기
FR2875304B1 (fr) 2004-09-16 2006-12-22 Ecole Polytechnique Etablissem Sonde de mesure de caracteristiques d'un courant d'excitation d'un plasma, et reacteur a plasma associe
US20060065632A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring a plasma frequency
US7323116B2 (en) 2004-09-27 2008-01-29 Lam Research Corporation Methods and apparatus for monitoring a process in a plasma processing system by measuring self-bias voltage
US20060065631A1 (en) 2004-09-27 2006-03-30 Chia-Cheng Cheng Methods and apparatus for monitoring a process in a plasma processing system by measuring impedance
US7666464B2 (en) 2004-10-23 2010-02-23 Applied Materials, Inc. RF measurement feedback control and diagnostics for a plasma immersion ion implantation reactor
US20060100824A1 (en) 2004-10-27 2006-05-11 Tokyo Electron Limited Plasma processing apparatus, abnormal discharge detecting method for the same, program for implementing the method, and storage medium storing the program
US7459100B2 (en) 2004-12-22 2008-12-02 Lam Research Corporation Methods and apparatus for sequentially alternating among plasma processes in order to optimize a substrate
US7364623B2 (en) 2005-01-27 2008-04-29 Lam Research Corporation Confinement ring drive
US20060172536A1 (en) 2005-02-03 2006-08-03 Brown Karl M Apparatus for plasma-enhanced physical vapor deposition of copper with RF source power applied through the workpiece
US7602127B2 (en) 2005-04-18 2009-10-13 Mks Instruments, Inc. Phase and frequency control of a radio frequency generator from an external source
US7359177B2 (en) 2005-05-10 2008-04-15 Applied Materials, Inc. Dual bias frequency plasma reactor with feedback control of E.S.C. voltage using wafer voltage measurement at the bias supply output
US20070021935A1 (en) 2005-07-12 2007-01-25 Larson Dean J Methods for verifying gas flow rates from a gas supply system into a plasma processing chamber
US7375038B2 (en) 2005-09-28 2008-05-20 Applied Materials, Inc. Method for plasma etching a chromium layer through a carbon hard mask suitable for photomask fabrication
US7764140B2 (en) 2005-10-31 2010-07-27 Mks Instruments, Inc. Radio frequency power delivery system
US20080179948A1 (en) 2005-10-31 2008-07-31 Mks Instruments, Inc. Radio frequency power delivery system
US7780864B2 (en) 2006-04-24 2010-08-24 Applied Materials, Inc. Process using combined capacitively and inductively coupled plasma sources for controlling plasma ion radial distribution
US7722778B2 (en) 2006-06-28 2010-05-25 Lam Research Corporation Methods and apparatus for sensing unconfinement in a plasma processing chamber
CN100530529C (zh) 2006-07-17 2009-08-19 应用材料公司 具有静电卡盘电压反馈控制的双偏置频率等离子体反应器
US20080029385A1 (en) 2006-08-03 2008-02-07 Tokyo Electron Limited Plasma processing apparatus and plasma processing method
US7902991B2 (en) * 2006-09-21 2011-03-08 Applied Materials, Inc. Frequency monitoring to detect plasma process abnormality
US7732728B2 (en) 2007-01-17 2010-06-08 Lam Research Corporation Apparatuses for adjusting electrode gap in capacitively-coupled RF plasma reactor
US7858898B2 (en) 2007-01-26 2010-12-28 Lam Research Corporation Bevel etcher with gap control
US7728602B2 (en) 2007-02-16 2010-06-01 Mks Instruments, Inc. Harmonic derived arc detector
US8241457B2 (en) 2007-03-30 2012-08-14 Tokyo Electron Limited Plasma processing system, plasma measurement system, plasma measurement method, and plasma control system
KR100870121B1 (ko) 2007-04-19 2008-11-25 주식회사 플라즈마트 임피던스 매칭 방법 및 이 방법을 위한 매칭 시스템
US7768269B2 (en) 2007-08-15 2010-08-03 Applied Materials, Inc. Method of multi-location ARC sensing with adaptive threshold comparison
CN101918044B (zh) 2007-11-06 2014-08-27 克里奥医药有限公司 微波等离子体灭菌系统及其施放器
US9074285B2 (en) 2007-12-13 2015-07-07 Lam Research Corporation Systems for detecting unconfined-plasma events
US7586100B2 (en) 2008-02-12 2009-09-08 Varian Semiconductor Equipment Associates, Inc. Closed loop control and process optimization in plasma doping processes using a time of flight ion detector
JP5319150B2 (ja) 2008-03-31 2013-10-16 東京エレクトロン株式会社 プラズマ処理装置及びプラズマ処理方法及びコンピュータ読み取り可能な記憶媒体
US8264154B2 (en) 2008-05-14 2012-09-11 Applied Materials, Inc. Method and apparatus for pulsed plasma processing using a time resolved tuning scheme for RF power delivery
US8337661B2 (en) 2008-05-29 2012-12-25 Applied Materials, Inc. Plasma reactor with plasma load impedance tuning for engineered transients by synchronized modulation of an unmatched low power RF generator
US7967944B2 (en) 2008-05-29 2011-06-28 Applied Materials, Inc. Method of plasma load impedance tuning by modulation of an unmatched low power RF generator
US8264238B1 (en) 2008-06-13 2012-09-11 Mks Instruments, Inc. Method for calibrating a broadband voltage/current probe
US20090308734A1 (en) 2008-06-17 2009-12-17 Schneider Automation Inc. Apparatus and Method for Wafer Level Arc Detection
US8103492B2 (en) 2008-09-05 2012-01-24 Tokyo Electron Limited Plasma fluid modeling with transient to stochastic transformation
WO2010033924A2 (en) 2008-09-22 2010-03-25 Applied Materials, Inc. Etch reactor suitable for etching high aspect ratio features
US8313664B2 (en) 2008-11-21 2012-11-20 Applied Materials, Inc. Efficient and accurate method for real-time prediction of the self-bias voltage of a wafer and feedback control of ESC voltage in plasma processing chamber
US8040068B2 (en) 2009-02-05 2011-10-18 Mks Instruments, Inc. Radio frequency power control system
US8368308B2 (en) 2009-03-05 2013-02-05 Applied Materials, Inc. Inductively coupled plasma reactor having RF phase control and methods of use thereof
US8382999B2 (en) 2009-03-26 2013-02-26 Applied Materials, Inc. Pulsed plasma high aspect ratio dielectric process
JP2010250959A (ja) 2009-04-10 2010-11-04 Hitachi High-Technologies Corp プラズマ処理装置
US8674606B2 (en) 2009-04-27 2014-03-18 Advanced Energy Industries, Inc. Detecting and preventing instabilities in plasma processes
US8473089B2 (en) 2009-06-30 2013-06-25 Lam Research Corporation Methods and apparatus for predictive preventive maintenance of processing chambers
US8271121B2 (en) 2009-06-30 2012-09-18 Lam Research Corporation Methods and arrangements for in-situ process monitoring and control for plasma processing tools
US8404598B2 (en) 2009-08-07 2013-03-26 Applied Materials, Inc. Synchronized radio frequency pulsing for plasma etching
US20110097901A1 (en) 2009-10-26 2011-04-28 Applied Materials, Inc. Dual mode inductively coupled plasma reactor with adjustable phase coil assembly
US8501631B2 (en) 2009-11-19 2013-08-06 Lam Research Corporation Plasma processing system control based on RF voltage
CN102612864B (zh) 2009-11-19 2015-06-10 朗姆研究公司 用于控制等离子体处理系统的方法和装置
US8901935B2 (en) 2009-11-19 2014-12-02 Lam Research Corporation Methods and apparatus for detecting the confinement state of plasma in a plasma processing system
US20110139748A1 (en) 2009-12-15 2011-06-16 University Of Houston Atomic layer etching with pulsed plasmas
US20120000887A1 (en) 2010-06-30 2012-01-05 Kabushiki Kaisha Toshiba Plasma treatment apparatus and plasma treatment method
KR20120022251A (ko) 2010-09-01 2012-03-12 삼성전자주식회사 플라즈마 식각방법 및 그의 장치
US9076826B2 (en) 2010-09-24 2015-07-07 Lam Research Corporation Plasma confinement ring assembly for plasma processing chambers
US8779662B2 (en) 2010-10-20 2014-07-15 Comet Technologies Usa, Inc Pulse mode capability for operation of an RF/VHF impedance matching network with 4 quadrant, VRMS/IRMS responding detector circuitry
US8723423B2 (en) 2011-01-25 2014-05-13 Advanced Energy Industries, Inc. Electrostatic remote plasma source
US8679358B2 (en) 2011-03-03 2014-03-25 Tokyo Electron Limited Plasma etching method and computer-readable storage medium
US8869612B2 (en) 2011-03-08 2014-10-28 Baxter International Inc. Non-invasive radio frequency liquid level and volume detection system using phase shift
US20130122711A1 (en) 2011-11-10 2013-05-16 Alexei Marakhtanov System, method and apparatus for plasma sheath voltage control
US9224618B2 (en) 2012-01-17 2015-12-29 Lam Research Corporation Method to increase mask selectivity in ultra-high aspect ratio etches
US10157729B2 (en) 2012-02-22 2018-12-18 Lam Research Corporation Soft pulsing
US9210790B2 (en) 2012-08-28 2015-12-08 Advanced Energy Industries, Inc. Systems and methods for calibrating a switched mode ion energy distribution system
US9408288B2 (en) 2012-09-14 2016-08-02 Lam Research Corporation Edge ramping
SG11201503321XA (en) 2012-10-30 2015-05-28 Air Liquide Fluorocarbon molecules for high aspect ratio oxide etch
US9620337B2 (en) * 2013-01-31 2017-04-11 Lam Research Corporation Determining a malfunctioning device in a plasma system
US9460894B2 (en) 2013-06-28 2016-10-04 Lam Research Corporation Controlling ion energy within a plasma chamber

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003017296A (ja) * 2001-07-05 2003-01-17 Nisshin:Kk プラズマ密度情報測定方法及びその装置、並びにプラズマ密度情報測定用プローブ、プラズマ密度情報測定用記録媒体、プラズマ処理装置
KR20090028622A (ko) * 2006-06-07 2009-03-18 램 리써치 코포레이션 플라즈마 프로세싱 반응기의 오류 상태를 검출하기 위한 방법 및 장치
KR20110011616A (ko) * 2008-05-07 2011-02-08 어드밴스드 에너지 인더스트리즈 인코포레이티드 매칭 네트워크를 제어하기 위한 장치, 시스템 및 방법

Non-Patent Citations (2)

* Cited by examiner, † Cited by third party
Title
Dublin City University, kieran dobbyn(학위논문) *
Sensors 2011, 11, 7037-7054, Real-Time Fault Classification for Plasma Processes *

Cited By (1)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20180064280A (ko) * 2016-12-05 2018-06-14 램 리써치 코포레이션 다중 제어 모드

Also Published As

Publication number Publication date
CN104051215A (zh) 2014-09-17
US9620337B2 (en) 2017-04-11
US20140210508A1 (en) 2014-07-31
KR102162430B1 (ko) 2020-10-07
CN104051215B (zh) 2017-03-15
TW201503765A (zh) 2015-01-16
TWI633810B (zh) 2018-08-21
US10319570B2 (en) 2019-06-11
US20170178873A1 (en) 2017-06-22

Similar Documents

Publication Publication Date Title
US10319570B2 (en) Determining a malfunctioning device in a plasma system
US10707056B2 (en) Using modeling to determine ion energy associated with a plasma system
JP6483880B2 (ja) ウェハバイアスを決定するための方法およびプラズマシステム
KR102438859B1 (ko) Rf 송신 시스템 모델들을 개량하기 위한 시스템, 방법 및 장치
US10008371B2 (en) Determining a value of a variable on an RF transmission model
US9652567B2 (en) System, method and apparatus for improving accuracy of RF transmission models for selected portions of an RF transmission path
KR102162429B1 (ko) 모델링을 사용하여서 플라즈마 시스템과 연관된 이온 에너지 결정
US9508529B2 (en) System, method and apparatus for RF power compensation in a plasma processing system
KR102339668B1 (ko) 플라즈마 시스템용 rf 전송 시스템 내에서 장애의 위치를 식별하기 위한 모델링을 사용하는 방법
JP2014195044A5 (ko)

Legal Events

Date Code Title Description
A201 Request for examination
E701 Decision to grant or registration of patent right
GRNT Written decision to grant