TWI431724B - 半導體結構及其製造方法 - Google Patents

半導體結構及其製造方法 Download PDF

Info

Publication number
TWI431724B
TWI431724B TW097123688A TW97123688A TWI431724B TW I431724 B TWI431724 B TW I431724B TW 097123688 A TW097123688 A TW 097123688A TW 97123688 A TW97123688 A TW 97123688A TW I431724 B TWI431724 B TW I431724B
Authority
TW
Taiwan
Prior art keywords
layer
germanium
gate dielectric
material layer
semiconductor structure
Prior art date
Application number
TW097123688A
Other languages
English (en)
Other versions
TW200939398A (en
Inventor
Ding Yuan Chen
Chen Hua Yu
Original Assignee
Taiwan Semiconductor Mfg
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Taiwan Semiconductor Mfg filed Critical Taiwan Semiconductor Mfg
Publication of TW200939398A publication Critical patent/TW200939398A/zh
Application granted granted Critical
Publication of TWI431724B publication Critical patent/TWI431724B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L27/00Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate
    • H01L27/02Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers
    • H01L27/04Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body
    • H01L27/08Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind
    • H01L27/085Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only
    • H01L27/088Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate
    • H01L27/092Devices consisting of a plurality of semiconductor or other solid-state components formed in or on a common substrate including semiconductor components specially adapted for rectifying, oscillating, amplifying or switching and having potential barriers; including integrated passive circuit elements having potential barriers the substrate being a semiconductor body including only semiconductor components of a single kind including field-effect components only the components being field-effect transistors with insulated gate complementary MIS field-effect transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823828Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes
    • H01L21/823842Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate conductors, e.g. particular materials, shapes gate conductors with different gate conductor materials or different gate conductor implants, e.g. dual gate structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823857Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the gate insulating layers, e.g. different gate insulating layer thicknesses, particular gate insulator materials or particular gate insulator implants
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/0603Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions characterised by particular constructional design considerations, e.g. for preventing surface leakage, for controlling electric field concentration or for internal isolations regions
    • H01L29/0642Isolation within the component, i.e. internal isolation
    • H01L29/0649Dielectric regions, e.g. SiO2 regions, air gaps
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/08Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/0843Source or drain regions of field-effect devices
    • H01L29/0847Source or drain regions of field-effect devices of field-effect transistors with insulated gate
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66636Lateral single gate silicon transistors with source or drain recessed by etching or first recessed by etching and then refilled
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7833Field effect transistors with field effect produced by an insulated gate with lightly doped drain or source extension, e.g. LDD MOSFET's; DDD MOSFET's
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • General Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Ceramic Engineering (AREA)
  • Manufacturing & Machinery (AREA)
  • Chemical & Material Sciences (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)

Description

半導體結構及其製造方法
本發明係有關於一種半導體結構及其製造方法,特別係有關於互補式金氧半導體裝置及其製造方法。
第1圖至第5圖繪示習知互補式MOS(complementary MOS,CMOS)裝置的製程剖面圖。第1圖顯示包含NMOS區4及PMOS區6的矽基底2。於矽基底2上毯覆式地形成一高介電係數閘極介電層8。接著形成N型金屬層(N-metal layer)10。接著形成一硬罩幕12,並對其進行圖案化,以遮蔽NMOS區4。在第2圖中,將PMOS區6內的介電層8及N型金屬層10移除。PMOS區6內的矽基底2也被移除以形成一凹處7。如第3圖所示,接著在凹處7內磊晶形成矽鍺材料層14。第4圖顯示毯覆性地形成高介電係數閘極介電層16、P型金屬層(P-metal layer)18及硬罩幕19。第5圖顯示接著將NMOS區4內的高介電係數閘極介電層16、P型金屬層18及硬罩幕19移除。
第5圖中所顯示的堆疊層可用來形成位於NMOS區4內的NMOS元件(未顯示於圖中),以及位於PMOS區6內的PMOS元件(未顯示於圖中)。因此所形成之PMOS元件的通道區是由矽鍺材料層14所形成。此有利於將PMOS元件的臨界電壓(threshold voltage)降低。此 外,與具有矽通道的PMOS元件相比,具有矽鍺通道的PMOS元件其電洞移動率較高。因此能夠增進形成於PMOS區6內之PMOS元件的驅動電流(drive current)。
然而,以上述方法所形成的CMOS元件有其缺點。由於形成於NMOS區4內之NMOS元件的通道區,並無來自於通道區下方之基底的應力,因此NMOS元件的驅動電流小於理想值。再者,由於矽鍺材料層14內的鍺元素可能會擴散至高介電係數介電層16,因此會在所形成的PMOS元件內造成漏電流。因此有需要能夠增進NMOS元件的驅動電流,及降低PMOS元件漏電流的製造方法。
本發明提供一種半導體結構的製造方法,包括下列步驟。提供一半導體基底,該半導體基底包含一第一區及一第二區。形成一第一MOS元件,包括:於該半導體基底的該第一區上形成一第一矽鍺材料層;於該第一矽鍺材料層上形成一矽材料層;於該矽材料層上形成一第一閘極介電層;以及圖案化該第一閘極介電層以形成一第一閘極介電結構。以及形成一第二MOS元件,包括:於該半導體基底的該第二區上形成一第二矽鍺材料層;於該第二矽鍺材料層上形成一第二閘極介電層,其中該第二MOS元件中的該第二矽鍺材料層及該第二閘極介電層之間並不具有一大體上的純矽材料層;以及圖案化該第二閘極介電層以形成一第二閘極介電結構。
本發明也提供一種半導體結構的製造方法,包括下列步驟。提供一矽基底,該矽基底包含一NMOS區及一PMOS區。於該矽基底上磊晶形成一第一矽鍺材料層,其中該第一矽鍺材料層包括位於該NMOS區上的一第一部分,及位於該PMOS區上的一第二部分。於該第一矽鍺材料層上磊晶形成一矽材料層。於該矽材料層上形成一第一閘極介電層。於該第一閘極介電層上形成一第一閘極電極層。移除該PMOS區上的該第一閘極電極層、該第一閘極介電層及該矽材料層。形成一第二閘極介電層,其中該第二閘極介電層包括至少一部分是位於該第一矽鍺材料層的該第二部分上。於該第二閘極介電層上形成一第二閘極電極層。圖案化該第一閘極介電層及該第一閘極電極層以形成一第一閘極堆疊結構。以及圖案化該第二閘極介電層及該第二閘極電極層以形成一第二閘極堆疊結構。
本發明還提供一種半導體結構的製造方法,包括下列步驟。提供一矽基底。於該矽基底上磊晶形成一第一矽鍺材料層。於該第一矽鍺材料層上磊晶形成一矽材料層。移除該矽材料層。於該第一矽鍺材料層上磊晶形成一第二矽鍺材料層。於該矽材料層上形成一閘極介電層。以及圖案化該閘極介電層以形成一閘極介電結構。
另外,本發明提供一種半導體結構,包括一半導體基底,包含一第一區及一第二區。一第一MOS元件,包括:一第一矽鍺材料層,位於該半導體基底的該第一區 上;一矽材料層,位於該第一矽鍺材料層上;以及一第一閘極介電結構,位於該矽材料層上。以及一第二MOS元件,包括:一第二矽鍺材料層,位於該半導體基底的該第二區上;以及一第二閘極介電結構,位於該第二矽鍺材料層上;其中該第二MOS元件中的該第二矽鍺材料層及該第二閘極介電結構之間並不具有一大體上的純矽材料層。
本發明也提供一種半導體結構,包括一矽基底,包含一第一區及一第二區。一NMOS元件,包括:一第一矽鍺材料層,位於該矽基底的該第一區上;一矽材料層,位於該第一矽鍺材料層上,其中該矽材料層包含大體上的純矽;以及一第一閘極介電結構,位於該矽材料層上。以及一PMOS元件,包括:一第二矽鍺材料層,位於該矽基底的該第二區上,其中該第一矽鍺材料層及該第二矽鍺材料層具有相同的鍺原子百分比;一第三矽鍺材料層,位於該第二矽鍺材料層上;以及一第二閘極介電結構,位於該第三矽鍺材料層上。
本發明之實施例提供一種金氧半導體結構的製造方法。有關各實施例之製造方式和使用方式係如下所詳述,並伴隨圖示加以說明。其中,圖式和說明書中使用之相同的元件編號係表示相同或類似之元件。而在圖式中,為清楚和方便說明起見,有關實施例之形狀和厚度 或有不符實際之情形。而以下所描述者係特別針對本發明之裝置的各項元件或其整合加以說明,然而,值得注意的是,上述元件並不特別限定於所顯示或描述者,而是可以熟習此技藝之人士所得之的各種形式,此外,當一層材料層是位於另一材料層或基板之上時,其可以是直接位於其表面上或另外插入有其他中介層。
請參考第6圖,提供一半導體基底20。半導體基底20可以是一般使用的半導體材料及結構,例如塊矽(bulk silicon)、絕緣層上覆矽(silicon-on-insulator,SOI)及類似的結構。半導體基底20包含NMOS區100及PMOS區200。於半導體基底20上磊晶形成一矽鍺(silicon germanium,SiGe)材料層24。於一實施例中,矽鍺材料層24是在一腔室內以減壓化學氣相沉積法(reduced pressure chemical vapor deposition)形成。前驅物可包括例如矽烷(SiH4)的含矽氣體,及例如鍺烷(GeH4)的含鍺氣體。矽鍺材料層24的鍺原子百分比可介於約5至30百分比,或是其他的百分比。矽鍺材料層24的厚度可大於約50。然而,習知技藝人士應了解到,此說明書中對厚度或其他尺寸的描述僅只是舉例,其亦可隨著積體電路的尺寸大小作改變。
接著在矽鍺材料層24上磊晶形成一矽材料層26。於一較佳實施例中,矽材料層26可以純矽(pure silicon)或大體上的純矽(substantially pure silicon)材料所形成。於其他實施例中,矽材料層26可包含鍺元素。然而,矽層 26的鍺原子百分比明顯低於矽鍺材料層24的鍺原子百分比。具有較高鍺原子百分比的矽鍺材料層24會在矽材料層26內造成張應力(tensile stress)。矽材料層26的厚度可介於例如約50至約400
請參考第7圖,形成淺溝槽隔離(shallow trench isolation,STI)區22。淺溝槽隔離區22可用以定義NMOS區100及PMOS區200的範圍。在其他實施例中,可在矽材料層26形成之前,或甚至在矽鍺材料層24形成之前,形成淺溝槽隔離區22。
第8圖顯示閘極介電層28、含金屬的材料層(metal-containing layer)30,及罩幕層32的形成。在一較佳實施例中,閘極介電層28是由具有例如大於約3.9之高介電係數(high dielectric constant)的材料所形成。高介電係數材料包括例如二氧化鉿(HfO2)、二氧化鋯(ZrO2)或氮氧化矽鉿(HfSiON)的金屬氧化物,例如鋯酸鉿(HfZrO)、鉭酸鉿(HfTaO)或鈦酸鉿(HfTaO)的金屬合金氧化物(metal alloy oxide),及其組合。閘極介電層28較佳為例如鑭酸鉿(HfLaO)之含鑭的材料(lanthanum containing material)。使用含鑭的高介電係數材料有益於降低所形成NMOS元件的閘極功函數。在其他實施例中,閘極介電層28可以氧化矽形成。於一實施例中,閘極介電層28的厚度是介於約1 nm至約10 nm之間。可選擇性地在閘極介電層28下方形成一厚度小於約1 nm的薄界面氧化物(thin interfacial oxide)以提高載子移動率 (carrier mobility)。
含金屬的材料層30較佳具有適合形成NMOS元件的功函數。功函數較佳為介於約4.0 eV至約4.4 eV之間,更佳為一導帶邊緣功函數(conduction band-edge work function)(接近矽材料的導帶,約4.1 eV)。可能的材料包含例如碳化鉭(TaC)、氮化鉭(TaN)、氮矽鉭化合物(TaSiN)及其組合的含鉭材料(tantalum-containing material)。含金屬的材料層30的厚度可介於約8 nm至約100 nm之間。閘極介電層28及含金屬的材料層30的形成方法包括低壓化學氣相沉積法(low-pressure chemical vapor deposition,LPCVD)、電漿增強化學氣相沉積法(plasma enhanced chemical vapor deposition,PECVD)、原子層沉積法(atomic layer deposition,ALD)、物理氣相沉積法(physical vapor deposition,PVD)、有機金屬化學氣相沉積法(metal-organic chemical vapor deposition,MOCVD)及類似的方法。硬罩幕32可由氮化矽(silicon nitride)、氮氧化矽(silicon oxynitride)及類似的材料所形成。將硬罩幕32圖案化以移除其位於PMOS區200上方的部份。
第9圖繪示利用例如乾蝕刻的方式將含金屬的材料層30、閘極介電層28及矽材料層26自PMOS區200移除。硬罩幕層32保護NMOS區100內的含金屬的材料層30、閘極介電層28及矽材料層26不被蝕刻移除。
矽材料層26的蝕刻可能造成其下方之矽鍺材料層24的表面受到損壞,因此矽鍺材料層24的表面可能會變得 粗糙。此會造成所形成通道區(channel region)可能存在於矽鍺材料層24內之PMOS元件的電洞移動率(hole mobility)降低的不利效果。因此可利用例如選擇性磊晶成長法(selective eptiaxial growth,SEG),在矽鍺材料層24上磊晶形成第二矽鍺材料層34。所形成的結構如第10圖所示。在一實施例中,矽鍺材料層34與矽鍺材料層24大體上具有相同的原子百分比(atomic percentage)。在其他實施例中,矽鍺材料層34的鍺原子百分比是介於約5百分比至約30百分比之間。矽鍺材料層34的鍺原子百分比以大於矽鍺材料層24的鍺原子百分比為較佳,以使矽鍺材料層34內的應力可為壓(compressive)應力,以更增強電洞移動率。在其他實施例中,矽鍺材料層34內的鍺百分比可低於,或等於矽鍺材料層24內的鍺百分比。在一實施例中,矽鍺材料層34的厚度介於約5至400之間。由於矽鍺材料層24可能會被過蝕刻(over-etching),以及矽鍺材料層34的形成,矽鍺材料層34之頂表面36的高度可能高於、等於或低於NMOS區100內部份矽鍺材料層24之頂表面38的高度。垂直差距(vertical difference)DT的高度約為300。差距DT可為正值(表示頂表面36高於頂表面38)或負值(表示頂表面36低於頂表面38)。要注意的是,當矽鍺材料層24及矽鍺材料層34具有不同的鍺原子百分比時,矽鍺材料層24及矽鍺材料層34是可被辨別的。然而,當矽鍺材料層24及矽鍺材料層54具有相同的原子百分比時,矽 鍺材料層24及矽鍺材料層34可能是無法被辨別的。
第11圖顯示閘極介電層42、含金屬的材料層(metal-containing layer)44,及硬罩幕46的形成。閘極介電層42由高介電係數材料所形成為較佳。高介電係數材料可包含例如鋁酸鉿(HfAlO)、氮氧化鋁鉿(HfAlON)、鋁酸鉿(AlZrO)及類似之含鋁的介電材料(aluminum-containing dielectric)。也可使用例如含鉿的材料(Hf-containing material)的其他高介電係數材料。使用含鋁的高介電係數材料有益於降低所形成之PMOS元件的臨界電壓(threshold voltage)。此外,在閘極介電層42中的鋁元素能夠抑制其下方之矽鍺材料層24及34中的鍺元素擴散至閘極介電層42內。因此所形成之PMOS元件的漏電流能夠被降低。在其他實施例中,閘極介電層42是由氧化矽(silicon oxide)所形成。閘極介電層42的厚度可介於約1 nm及10 nm之間。同樣的,也可選擇性地在閘極介電層42下方形成一厚度小於約1 nm的薄界面氧化物(thin interfacial oxide)以提高載子移動率(carrier mobility)。
含金屬的材料層44較佳具有適合形成PMOS元件的功函數。含金屬的材料層44具有介於約4.9 eV及約5.2 eV之間的功函數為較佳,更佳為接近約5.2 eV的導帶邊緣功函數(conduction band-edge work function)。材料可包含例如鎢(tungsten)或氮化鎢(tungsten mitride)之含鎢的材料(tungsten-containing meterial),例如釕(ruthemium) 或氧化釕(ruthemium oxide)之含釕的材料(ruthemium-containing meterial),例如鉬(molybdenum)或氮化鉬(molybdenum mitride)之含鉬的材料(molybdenum-containing meterial),或上述材料之組合。閘極介電層42及含金屬的材料層44可分別使用與閘極介電層28及含金屬的材料層30相同的形成方法形成。接著可使用與硬罩幕32相同的材料形成硬罩幕層46。
第12圖繪示將閘極介電層42、含金屬的材料層44及硬罩幕層46進行圖案化以自NMOS區100移除。接著再進行一閘極圖案化步驟,以形成如第13圖所繪示的閘極堆疊結構150及250。因此,閘極介電層152及閘極電極層154形成於NMOS區100內,閘極介電層252及閘極電極層254形成於PMOS區200內。在其他實施例中,閘極堆疊結構250不必經過第12圖所繪示的步驟,而可由第11圖中的結構直接形成。
第14圖顯示NMOS元件160及PMOS元件260中其他元件的形成。首先形成輕摻雜源極/汲極(lightly doped source/drain)區162及262。輕摻雜源極/汲極區162及262可利用習知技藝,分別在NMOS區100及PMOS區200中摻雜N型雜質及P型雜質的方式形成。由於閘極堆疊結構150及250可在摻雜步驟中作遮蔽用,因此輕摻雜源極/汲極區162及262實質上是各自對準於閘極堆疊結構150及250的邊緣。
閘極間隙壁164及264分別形成於閘極堆疊結構150 及250的側壁上。可利用沉積一或多層間隙壁層(未顯示於圖中),並以蝕刻的方式將間隙壁層水平的部分移除,以形成閘極間隙壁164及264。在較佳實施例中,間隙壁層包括在襯氧化層(liner oxide layer)上的氮化層。沉積間隙壁的較佳方式包括PECVD、LPCVD、次大氣壓化學氣相沉積(sub-atmospheric chemical vapor deposition,SACVD)及類似的方法。
第14圖也顯示深源極/汲極區166及266,以及矽鍺應力源(SiGe stressor)268的形成。由於深源極/汲極區166及266,以及矽鍺應力源(SiGe stressor)268可利用習知方式予以形成,因此不再詳述其形成步驟。接著形成源極/汲極矽化物區(source/drain silicide region)(未顯示於圖中)。
本發明的實施例具有一些優點特徵。由於NMOS元件160是形成於矽材料層上,且矽材料層是形成於矽鍺材料層上,因此矽材料層與矽鍺材料層之間的晶格差異(lattice mismatch)所形成的應力(strain)可增進通道區內的電子移動率。另外,由於PMOS元件是形成於矽鍺材料層上,因此PMOS元件的臨界電壓(threshold voltage)可被降低。再者,將鋁元素摻雜至PMOS元件260的閘極介電層中可抑制鍺元素擴散至上方的閘極介電層內,因此PMOS元件的漏電流能夠被降低。
雖然本發明已以較佳實施例揭露如上,然其並非用以限定本發明,任何熟悉此項技藝者,在不脫離本發明之精 神和範圍內,當可做些許更動與潤飾,因此本發明之保護範圍當視後附之申請專利範圍所界定者為準。
2‧‧‧矽基底
4‧‧‧NMOS區
6‧‧‧PMOS區
7‧‧‧凹處
8‧‧‧閘極介電層
10‧‧‧N型金屬層
12‧‧‧硬罩幕
14‧‧‧矽鍺材料層
16‧‧‧閘極介電層
18‧‧‧P型金屬層
19‧‧‧硬罩幕
20‧‧‧半導體基底
22‧‧‧淺溝槽隔離區
24‧‧‧矽鍺材料層
26‧‧‧矽材料層
28‧‧‧閘極介電層
30‧‧‧含金屬的材料層
32‧‧‧罩幕層
34‧‧‧矽鍺材料層
36‧‧‧頂表面
38‧‧‧頂表面
42‧‧‧閘極介電層
44‧‧‧含金屬的材料層
46‧‧‧硬罩幕
100‧‧‧NMOS區
150‧‧‧閘極堆疊結構
152‧‧‧閘極介電層
154‧‧‧閘極電極層
160‧‧‧NMOS元件
162‧‧‧輕摻雜源極/汲極區
164‧‧‧閘極間隙壁
166‧‧‧深源極/汲極區
200‧‧‧PMOS區
250‧‧‧閘極堆疊結構
252‧‧‧閘極介電層
254‧‧‧閘極電極層
260‧‧‧PMOS元件
262‧‧‧輕摻雜源極/汲極區
264‧‧‧閘極間隙壁
266‧‧‧深源極/汲極區
268‧‧‧矽鍺壓力區
第1圖至第5圖繪示習知互補式MOS裝置的製程剖面圖。
第6圖至第14圖繪示本發明實施例的製程剖面圖。
20‧‧‧半導體基底
22‧‧‧淺溝槽隔離區
24‧‧‧矽鍺材料層
26‧‧‧矽材料層
34‧‧‧矽鍺材料層
100‧‧‧NMOS區
150‧‧‧閘極堆疊結構
152‧‧‧閘極介電層
154‧‧‧閘極電極層
160‧‧‧NMOS元件
162‧‧‧輕摻雜源極/汲極區
164‧‧‧閘極間隙壁
166‧‧‧深源極/汲極區
200‧‧‧PMOS區
250‧‧‧閘極堆疊結構
252‧‧‧閘極介電層
254‧‧‧閘極電極層
260‧‧‧PMOS元件
262‧‧‧輕摻雜源極/汲極區
264‧‧‧閘極間隙壁
266‧‧‧深源極/汲極區
268‧‧‧矽鍺壓力區

Claims (30)

  1. 一種半導體結構的製造方法,包括下列步驟:提供一半導體基底,該半導體基底包含一第一區及一第二區;形成一第一MOS元件,包括:於該半導體基底的該第一區上形成一第一矽鍺材料層;於該第一矽鍺材料層上形成一矽材料層;於該矽材料層上形成一第一閘極介電層,其中該第一閘極介電層包含鑭元素且不包含鋁元素;以及圖案化該第一閘極介電層以形成一第一閘極介電結構;以及形成一第二MOS元件,包括:於該半導體基底的該第二區上形成一第二矽鍺材料層;於該第二矽鍺材料層上形成一第二閘極介電層,其中該第二MOS元件中的該第二矽鍺材料層及該第二閘極介電層之間並不具有一大體上的純矽材料層,其中該第二閘極介電層包含鋁元素且不包含鑭元素;以及圖案化該第二閘極介電層以形成一第二閘極介電結構。
  2. 如申請專利範圍第1項所述之半導體結構的製造方法,其中該第一MOS元件是一NMOS元件,該第二MOS元件是一PMOS元件。
  3. 如申請專利範圍第1項所述之半導體結構的製造方法,其中該第一矽鍺材料層、該第二矽鍺材料層及該矽材料層是以磊晶成長的方式形成。
  4. 如申請專利範圍第1項所述之半導體結構的製造方法,更包括在形成該第二閘極介電層前,於該第二矽鍺材料層上形成一第三矽鍺材料層。
  5. 如申請專利範圍第1項所述之半導體結構的製造方法,其中該第一矽鍺材料層及該第二矽鍺材料層是同時形成。
  6. 如申請專利範圍第1項所述之半導體結構的製造方法,其中該矽材料層延伸於該半導體基底的該第二區上,且該方法更包括移除該第二區上的該矽材料層。
  7. 如申請專利範圍第6項所述之半導體結構的製造方法,更包括:於該第一閘極介電層上形成一第一閘極電極層;以及在移除該第二區上的該矽材料層後,於該第二閘極介電層上形成一第二閘極電極層。
  8. 如申請專利範圍第1項所述之半導體結構的製造方法,其中該半導體基底是矽基底。
  9. 一種半導體結構的製造方法,包括下列步驟:提供一矽基底,該矽基底包含一NMOS區及一PMOS區;於該矽基底上磊晶形成一第一矽鍺材料層,其中該 第一矽鍺材料層包括位於該NMOS區上的一第一部分,及位於該PMOS區上的一第二部分;於該第一矽鍺材料層上磊晶形成一矽材料層;於該矽材料層上形成一第一閘極介電層;於該第一閘極介電層上形成一第一閘極電極層;移除該PMOS區上的該第一閘極電極層、該第一閘極介電層及該矽材料層;形成一第二閘極介電層,其中該第二閘極介電層包括至少一部分是位於該第一矽鍺材料層的該第二部分上;於該第二閘極介電層上形成一第二閘極電極層;圖案化該第一閘極介電層及該第一閘極電極層以形成一第一閘極堆疊結構;以及圖案化該第二閘極介電層及該第二閘極電極層以形成一第二閘極堆疊結構。
  10. 如申請專利範圍第9項所述之半導體結構的製造方法,其中形成該第二閘極電極層及該第二閘極介電層的步驟包括:毯覆性地形成該第二閘極介電層;毯覆性地形成該第二閘極電極層;以及移除該NMOS區上的該第二閘極電極層及該第二閘極介電層。
  11. 如申請專利範圍第9項所述之半導體結構的製造方法,其中該第一閘極介電層包含鑭元素且不包含鋁元 素,該第二閘極介電層包含鋁元素且不包含鑭元素。
  12. 如申請專利範圍第9項所述之半導體結構的製造方法,更包括在移除該PMOS區上的該第一閘極電極層、該第一閘極介電層及該矽材料層後,磊晶形成一第二矽鍺材料層於該第一矽鍺材料層上,且該第二矽鍺材料層與該第一矽鍺材料層接觸。
  13. 一種半導體結構的製造方法,包括下列步驟:提供一矽基底;於該矽基底上磊晶形成一第一矽鍺材料層;於該第一矽鍺材料層上磊晶形成一矽材料層;移除該矽材料層;於該第一矽鍺材料層上磊晶形成一第二矽鍺材料層;於該矽材料層上形成一閘極介電層;以及圖案化該閘極介電層以形成一閘極介電結構。
  14. 如申請專利範圍第13項所述之半導體結構的製造方法,更包括:於該矽材料層上形成一額外的閘極介電層;於該額外的閘極介電層上形成一額外的閘極電極層;在移除該矽材料層前,移除該額外的閘極介電層及該額外的閘極電極層;於該閘極介電層上形成一閘極電極層;以及圖案化該閘極電極層以形成一閘極電極結構。
  15. 如申請專利範圍第13項所述之半導體結構的製造方法,其中該第二矽鍺材料層所具有的一第二鍺原子百分比,是不同於該第一矽鍺材料層的一第一鍺原子百分比。
  16. 如申請專利範圍第13項所述之半導體結構的製造方法,其中該閘極介電層包含鋁元素。
  17. 一種半導體結構,包括:一半導體基底,包含一第一區及一第二區;一第一MOS元件,包括:一第一矽鍺材料層,位於該半導體基底的該第一區上;一矽材料層,位於該第一矽鍺材料層上;以及一第一閘極介電結構,位於該矽材料層上,其中該第一閘極介電結構包含鑭元素;以及一第二MOS元件,包括:一第二矽鍺材料層,位於該半導體基底的該第二區上;以及一第二閘極介電結構,位於該第二矽鍺材料層上,其中該第二閘極介電結構包含鋁元素;其中該第二MOS元件中的該第二矽鍺材料層及該第二閘極介電結構之間並不具有一大體上的純矽材料層。
  18. 如申請專利範圍第17項所述的半導體結構,其中該第二MOS元件更包括一第三矽鍺材料層,位於該第二矽鍺材料層及該第二閘極介電結構之間,其中該第三矽 鍺材料層的鍺原子百分比不同於該第二矽鍺材料層的鍺原子百分比。
  19. 如申請專利範圍第17項所述的半導體結構,其中該第二矽鍺材料層的頂表面低於該第一矽鍺材料層的頂表面。
  20. 如申請專利範圍第17項所述的半導體結構,其中該第一MOS元件是一NMOS元件,該第二MOS元件是一PMOS元件。
  21. 如申請專利範圍第17項所述的半導體結構,其中該半導體基底是矽基底。
  22. 如申請專利範圍第17項所述的半導體結構,其中該第一矽鍺材料層及該第二矽鍺材料層具有相同的鍺原子百分比。
  23. 一種半導體結構,包括:一矽基底,包含一第一區及一第二區;一NMOS元件,包括:一第一矽鍺材料層,位於該矽基底的該第一區上;一矽材料層,位於該第一矽鍺材料層上,其中該矽材料層包含大體上的純矽;以及一第一閘極介電結構,位於該矽材料層上;以及一PMOS元件,包括:一第二矽鍺材料層,位於該矽基底的該第二區上,其中該第一矽鍺材料層及該第二矽鍺材料層具有相同的鍺原子百分比; 一第三矽鍺材料層,位於該第二矽鍺材料層上;以及一第二閘極介電結構,位於該第三矽鍺材料層上。
  24. 如申請專利範圍第23項所述的半導體結構,其中該第二矽鍺材料層與該第三矽鍺材料層具有不同的鍺原子百分比。
  25. 如申請專利範圍第24項所述的半導體結構,其中該第二矽鍺材料層與該第一矽鍺材料層具有不同的鍺原子百分比。
  26. 如申請專利範圍第23項所述的半導體結構,其中該第三矽鍺材料層的頂表面高於該第一矽鍺材料層的頂表面。
  27. 如申請專利範圍第23項所述的半導體結構,其中該第三矽鍺材料層的頂表面高度等於該第一矽鍺材料層的頂表面高度。
  28. 如申請專利範圍第23項所述的半導體結構,其中該第三矽鍺材料層的頂表面低於該第一矽鍺材料層的頂表面。
  29. 如申請專利範圍第23項所述的半導體結構,其中該第一閘極介電結構包含鑭元素且不包含鋁元素,該第二閘極介電結構包含鋁元素且不包含鑭元素。
  30. 如申請專利範圍第23項所述的半導體結構,其中該PMOS元件中的該第二矽鍺材料層及該第二閘極介電結構之間並不具有一大體上的純矽材料層。
TW097123688A 2008-03-06 2008-06-25 半導體結構及其製造方法 TWI431724B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/043,588 US7993998B2 (en) 2008-03-06 2008-03-06 CMOS devices having dual high-mobility channels

Publications (2)

Publication Number Publication Date
TW200939398A TW200939398A (en) 2009-09-16
TWI431724B true TWI431724B (zh) 2014-03-21

Family

ID=41054049

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097123688A TWI431724B (zh) 2008-03-06 2008-06-25 半導體結構及其製造方法

Country Status (3)

Country Link
US (3) US7993998B2 (zh)
CN (1) CN101527280B (zh)
TW (1) TWI431724B (zh)

Families Citing this family (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US7993998B2 (en) * 2008-03-06 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices having dual high-mobility channels
EP2113940A1 (en) * 2008-04-30 2009-11-04 Imec A method for producing NMOS and PMOS devices in CMOS processing
US20100181626A1 (en) * 2009-01-21 2010-07-22 Jing-Cheng Lin Methods for Forming NMOS and PMOS Devices on Germanium-Based Substrates
US8309419B2 (en) * 2009-02-04 2012-11-13 Freescale Semiconductor, Inc. CMOS integration with metal gate and doped high-K oxides
JP2011003664A (ja) * 2009-06-17 2011-01-06 Renesas Electronics Corp 半導体装置およびその製造方法
FR2952225B1 (fr) * 2009-11-03 2012-03-23 St Microelectronics Sa Procede de formation d'un transistor mos a canal en silicium-germanium
US20120019284A1 (en) * 2010-07-26 2012-01-26 Infineon Technologies Austria Ag Normally-Off Field Effect Transistor, a Manufacturing Method Therefor and a Method for Programming a Power Field Effect Transistor
US8377773B1 (en) * 2011-10-31 2013-02-19 Globalfoundries Inc. Transistors having a channel semiconductor alloy formed in an early process stage based on a hard mask
CN103117251A (zh) * 2011-11-16 2013-05-22 无锡华润上华半导体有限公司 一种cmos场效应晶体管的制备方法
US20130137238A1 (en) * 2011-11-30 2013-05-30 Taiwan Semiconductor Manufacturing Company, Ltd. Method for forming high mobility channels in iii-v family channel devices
CN103489779B (zh) * 2012-06-12 2016-05-11 中国科学院微电子研究所 半导体结构及其制造方法
KR20140003154A (ko) * 2012-06-29 2014-01-09 에스케이하이닉스 주식회사 반도체 장치 제조 방법
KR102021765B1 (ko) 2013-06-17 2019-09-17 삼성전자 주식회사 반도체 장치
KR102077447B1 (ko) 2013-06-24 2020-02-14 삼성전자 주식회사 반도체 장치 및 이의 제조 방법
KR102056874B1 (ko) 2013-07-31 2019-12-17 삼성전자주식회사 핀 전계 효과 트랜지스터를 포함하는 반도체 소자 및 그 제조 방법
KR101628197B1 (ko) * 2014-08-22 2016-06-09 삼성전자주식회사 반도체 소자의 제조 방법
US9219150B1 (en) * 2014-09-18 2015-12-22 Soitec Method for fabricating semiconductor structures including fin structures with different strain states, and related semiconductor structures
KR102259328B1 (ko) * 2014-10-10 2021-06-02 삼성전자주식회사 반도체 장치 및 그 제조 방법
US9627536B2 (en) 2015-06-25 2017-04-18 International Busines Machines Corporation Field effect transistors with strained channel features
US9559120B2 (en) 2015-07-02 2017-01-31 International Business Machines Corporation Porous silicon relaxation medium for dislocation free CMOS devices
US9960284B2 (en) * 2015-10-30 2018-05-01 Globalfoundries Inc. Semiconductor structure including a varactor
US9443873B1 (en) 2015-12-14 2016-09-13 International Business Machines Corporation Structure and method for tensile and compressive strained silicon germanium with same germanium concentration by single epitaxy step
CN113224139B (zh) * 2021-04-30 2023-05-09 长鑫存储技术有限公司 半导体器件及其制造方法
CN113594094B (zh) * 2021-07-08 2023-10-24 长鑫存储技术有限公司 存储器及其制备方法

Family Cites Families (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH10270685A (ja) * 1997-03-27 1998-10-09 Sony Corp 電界効果トランジスタとその製造方法、半導体装置とその製造方法、その半導体装置を含む論理回路および半導体基板
US6703271B2 (en) * 2001-11-30 2004-03-09 Taiwan Semiconductor Manufacturing Company Complementary metal oxide semiconductor transistor technology using selective epitaxy of a strained silicon germanium layer
CN1312758C (zh) * 2002-09-11 2007-04-25 台湾积体电路制造股份有限公司 具有应变平衡结构的cmos元件及其制造方法
US7247534B2 (en) * 2003-11-19 2007-07-24 International Business Machines Corporation Silicon device on Si:C-OI and SGOI and method of manufacture
US7662689B2 (en) 2003-12-23 2010-02-16 Intel Corporation Strained transistor integration for CMOS
US7888197B2 (en) * 2007-01-11 2011-02-15 International Business Machines Corporation Method of forming stressed SOI FET having doped glass box layer using sacrificial stressed layer
US7993998B2 (en) * 2008-03-06 2011-08-09 Taiwan Semiconductor Manufacturing Company, Ltd. CMOS devices having dual high-mobility channels

Also Published As

Publication number Publication date
US20160155740A1 (en) 2016-06-02
TW200939398A (en) 2009-09-16
US9472552B2 (en) 2016-10-18
US20090227078A1 (en) 2009-09-10
US7993998B2 (en) 2011-08-09
US9257506B2 (en) 2016-02-09
CN101527280B (zh) 2011-07-13
US20110260261A1 (en) 2011-10-27
CN101527280A (zh) 2009-09-09

Similar Documents

Publication Publication Date Title
TWI431724B (zh) 半導體結構及其製造方法
TWI464809B (zh) 半導體裝置及其製造方法
EP1679743B1 (en) Semiconductor integrated circuit and fabrication process thereof
US7642607B2 (en) MOS devices with reduced recess on substrate surface
US10014224B2 (en) Structure and formation method of fin-like field effect transistor
US9660052B2 (en) Strained source and drain (SSD) structure and method for forming the same
US8426298B2 (en) CMOS devices with Schottky source and drain regions
TWI397962B (zh) 半導體結構與其形成方法
US10692779B2 (en) Method and structure for CMOS metal gate stack
US8765591B2 (en) Semiconductor device having metal gate and manufacturing method thereof
US9666487B2 (en) Method for manufacturing germanium-based CMOS comprising forming silicon cap over PMOS region having a thickness less than that over NMOS region
KR20130028941A (ko) 매립된 소스/드레인 실리사이드를 위한 델타 단분자층 도펀트 에피택시
US20150364580A1 (en) Structure and formation method of fin-like field effect transistor
US8592911B2 (en) Asymmetric semiconductor device having a high-k/metal gate and method of manufacturing the same
TW201724501A (zh) 半導體結構及其製造方法
US8084831B2 (en) Semiconductor device
JP2013026466A (ja) 半導体装置及びその製造方法
US8729639B2 (en) Semiconductor device and method for producing the same
US9496342B2 (en) MOSFET structure and manufacturing method thereof
US20140015062A1 (en) Method for Forming Gate Structure, Method for Forming Semiconductor Device, and Semiconductor Device

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees