TWI410519B - 於基材上形成含金屬層之氣相沈積方法 - Google Patents

於基材上形成含金屬層之氣相沈積方法 Download PDF

Info

Publication number
TWI410519B
TWI410519B TW097104733A TW97104733A TWI410519B TW I410519 B TWI410519 B TW I410519B TW 097104733 A TW097104733 A TW 097104733A TW 97104733 A TW97104733 A TW 97104733A TW I410519 B TWI410519 B TW I410519B
Authority
TW
Taiwan
Prior art keywords
deposition
atomic layer
titanium oxide
titanium
precursor compound
Prior art date
Application number
TW097104733A
Other languages
English (en)
Other versions
TW200844254A (en
Inventor
Bhaskar Srinivasan
John Smythe
Original Assignee
Micron Technology Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Micron Technology Inc filed Critical Micron Technology Inc
Publication of TW200844254A publication Critical patent/TW200844254A/zh
Application granted granted Critical
Publication of TWI410519B publication Critical patent/TWI410519B/zh

Links

Classifications

    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/405Oxides of refractory metals or yttrium
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • C23C16/40Oxides
    • C23C16/409Oxides of the type ABO3 with A representing alkali, alkaline earth metal or lead and B representing a refractory metal, nickel, scandium or a lanthanide
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45529Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making a layer stack of alternating different compositions or gradient compositions
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45531Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations specially adapted for making ternary or higher compositions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/018Dielectrics
    • H01G4/06Solid dielectrics
    • H01G4/08Inorganic dielectrics
    • H01G4/085Vapour deposited
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01GCAPACITORS; CAPACITORS, RECTIFIERS, DETECTORS, SWITCHING DEVICES OR LIGHT-SENSITIVE DEVICES, OF THE ELECTROLYTIC TYPE
    • H01G4/00Fixed capacitors; Processes of their manufacture
    • H01G4/002Details
    • H01G4/018Dielectrics
    • H01G4/06Solid dielectrics
    • H01G4/08Inorganic dielectrics
    • H01G4/12Ceramic dielectrics
    • H01G4/1209Ceramic dielectrics characterised by the ceramic dielectric material
    • H01G4/1218Ceramic dielectrics characterised by the ceramic dielectric material based on titanium oxides or titanates
    • H01G4/1227Ceramic dielectrics characterised by the ceramic dielectric material based on titanium oxides or titanates based on alkaline earth titanates
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02197Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides the material having a perovskite structure, e.g. BaTiO3
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/3141Deposition using atomic layer deposition techniques [ALD]
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31604Deposition from a gas or vapour
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/30Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26
    • H01L21/31Treatment of semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/26 to form insulating layers thereon, e.g. for masking or by using photolithographic techniques; After treatment of these layers; Selection of materials for these layers
    • H01L21/314Inorganic layers
    • H01L21/316Inorganic layers composed of oxides or glassy oxides or oxide based glass
    • H01L21/31691Inorganic layers composed of oxides or glassy oxides or oxide based glass with perovskite structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/02112Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer
    • H01L21/02172Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides
    • H01L21/02175Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal
    • H01L21/02186Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates characterised by the material of the layer the material containing at least one metal element, e.g. metal oxides, metal nitrides, metal oxynitrides or metal carbides characterised by the metal the material containing titanium, e.g. TiO2
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02109Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates
    • H01L21/022Forming insulating materials on a substrate characterised by the type of layer, e.g. type of material, porous/non-porous, pre-cursors, mixtures or laminates the layer being a laminate, i.e. composed of sublayers, e.g. stacks of alternating high-k metal oxides
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02107Forming insulating materials on a substrate
    • H01L21/02225Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer
    • H01L21/0226Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process
    • H01L21/02263Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase
    • H01L21/02271Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition
    • H01L21/0228Forming insulating materials on a substrate characterised by the process for the formation of the insulating layer formation by a deposition process deposition from the gas or vapour phase deposition by decomposition or reaction of gaseous or vapour phase compounds, i.e. chemical vapour deposition deposition by cyclic CVD, e.g. ALD, ALE, pulsed CVD

Description

於基材上形成含金屬層之氣相沈積方法
積體電路裝置之尺寸降低已形成將高介電常數(亦即高介質電容率)材料併入電容器及閘極內之需要。由於現行技術之最小尺寸實際上受標準介電材料使用之制約,因此搜尋新型高介電常數材料及方法正變得愈加重要。與習知介電材料相比,含有鹼土金屬之介電材料可在電容方面提供顯著優勢。舉例而言,鈣鈦礦材料鈦酸鍶(SrTiO3 )具有所揭示之高達500之主體介電常數。
遺憾地是,已證明難以成功地將鹼土金屬與氣相沈積方法整合。舉例而言,儘管已揭示β-二酮酸鍶之原子層沈積(ALD),但該等前驅化合物之不良反應性往往需要高基材溫度及強氧化劑以使膜生長,該膜往往被含碳雜質污染。舉例而言,由於含碳雜質(諸如碳酸鍶)會實質上降低所得膜之介電常數,因此該等雜質不合乎需要。將該等含碳雜質自高介電常數膜中移除之努力已得到有限的成功,此係至少部分地歸因於使用不便及/或昂貴之技術,包括例如在臭氧中曝露於紫外線(UV)輻射及高壓各向同性反應性離子蝕刻。
正在探尋製備適於當前及新一代積體電路裝置之高介電常數膜的新方法。
以下對如本文中所述之方法之各種實施例之描述不希望描述該等方法之每個實施例或每個實施過程。相反,結合 隨附圖式,參考以下實施方式及申請專利範圍,顯然可更完整瞭解如本文中所述之方法。此外,應瞭解可使用其他實施例且在不背離本揭示案之範疇下可作出結構改變。
製備在退火後結晶成立方相的無缺陷SrTiO3 膜需要形成具有大體1:1之鍶:鈦原子比的鈦酸鍶膜。晶態立方相之存在可形成高介電常數,其對於鈣鈦礦材料係已知的。此外,需要減少及/或消除鈦酸鍶膜中之含碳雜質,諸如碳酸鍶(SrCO3 ),因為該等含碳雜質會有害於膜之電性質。本文中所述之原子層沈積方法之某些實施例可提供所要性質中之至少一些性質。
圖1為可藉由本文中所揭示之方法所製備之構造100之說明。參看圖1,初期氧化鈦沈積階段可在基材110上沈積氧化鈦層120。在某些實施例中,氧化鈦層120厚度可小於1奈米,且在其他某些實施例中,氧化鈦層120厚度為至多0.6奈米。在某些實施例中,氧化鈦層120厚度可為至少0.3奈米。初期氧化鈦沈積階段包括複數個氧化鈦沈積週期。如本文中所使用,"複數"意謂兩個或兩個以上。在一些實施例中,初期氧化鈦沈積階段由複數個氧化鈦沈積週期組成或大體上由複數個氧化鈦沈積週期組成。舉例而言,在某些實施例中,初期氧化鈦沈積階段可由11至14個氧化鈦沈積週期組成。
再次參看圖1,中期沈積階段可在氧化鈦層120上沈積氧化鍶/氧化鈦層130。氧化鍶/氧化鈦層130之厚度可視指定 應用之需要而基於所用沈積週期數控制。在某些實施例中,氧化鍶/氧化鈦層130厚度可為至少1奈米,且在其他實施例中,厚度為至少2奈米。儘管一般而言氧化鍶/氧化鈦層之最大厚度僅受特定應用之要求(例如50奈米、100奈米或甚至更厚)之限制,但在如本文中所述之某些說明性實施例中,氧化鍶/氧化鈦層130之最大厚度可為例如20奈米、30奈米或40奈米。
中期沈積階段包括複數個氧化鍶沈積週期及複數個氧化鈦沈積週期。在一些實施例中,中期沈積階段可包括氧化鍶沈積週期與氧化鈦沈積週期交替進行。在其他實施例中,中期沈積階段可包括複數個連續氧化鍶沈積週期與氧化鈦沈積週期交替進行。在又其他實施例中,中期沈積階段可包括氧化鍶沈積週期與複數個連續氧化鈦沈積週期交替進行。在再其他實施例中,中期沈積階段可包括複數個連續氧化鍶沈積週期與複數個連續氧化鈦沈積週期交替進行。在又其他實施例中,中期沈積階段可包括上述沈積週期序列之組合,由上述沈積週期序列之組合組成或大體上由上述沈積週期序列之組合組成。
熟習此項技術者可更改中期沈積階段中氧化鍶沈積週期及氧化鈦沈積週期數及序列,以形成例如具有所要厚度且具有大體1:1之鍶:鈦原子比的鈦酸鍶層。舉例而言,在某些實施例中,中期沈積階段可包括多組(例如,4至20組或20組以上)交替進行之2至4個連續氧化鍶沈積週期與7至14個連續氧化鈦沈積週期。在某些實施例中,中期沈積階段 始於且終於一或多個氧化鍶沈積週期。如本文中所使用,術語"或"通常以包括"及/或"之含義使用,除非該用法之上下文另有明確說明。
再次參看圖1,後期氧化鈦沈積階段可在中期沈積層130上沈積氧化鈦層140。在某些實施例中,氧化鈦層140厚度可為至多1奈米,且在其他某些實施例中,厚度可為至多0.6奈米。在某些實施例中,氧化鈦層140厚度可為至少0.3奈米。後期氧化鈦沈積階段包括複數個氧化鈦沈積週期。在一些實施例中,後期氧化鈦沈積階段由複數個氧化鈦沈積週期組成或大體上由複數個氧化鈦沈積週期組成。舉例而言,在某些實施例中,後期氧化鈦沈積階段可由11至14個氧化鈦沈積週期組成。
有利的是,已發現本文中所述方法中之至少一些方法可提供以例如大體1:1之鍶:鈦原子比及/或低含碳雜質(例如SrCO3 )含量為特徵的鈦酸鍶膜,其可形成例如高介電常數之性質。0.9:1.0至1.0:0.9之鍶:鈦原子比在本文中視為大體1:1之鍶:鈦原子比。如本文中所使用,端點數值範圍之敍述包括彼範圍內所包含的所有數(例如,1至5包括1、1.5、2、2.75、3、3.80、4、5等)。
低碳含量鈦酸鍶需要沈積時且尤其在退火後之碳酸鍶含量小於或等於5原子%(以金屬原子計)。具有低碳含量且在某些實施例中不具有可偵測之碳含量的鈦酸鍶可有利於沈積時及/或退火後產生具有所要鈣鈦礦結晶相(例如立方相)的鈦酸鍶膜。具有所要鈣鈦礦結晶相的鈦酸鍶膜對於具有 高介電常數可為有利的。
可使用含金屬前驅組合物、以本揭示案中所述之各種方法形成含金屬層。如本文中所使用,"含金屬"用於指可完全由金屬組成或可包括除金屬外之其他元素的材料,通常為化合物或層。典型的含金屬化合物包括(但不限於)金屬、金屬-配位基錯合物、金屬鹽、有機金屬化合物及其組合。典型的含金屬層包括(但不限於)金屬、金屬氧化物、金屬矽酸鹽及其組合。
可將不同的含金屬化合物視情況與一或多種有機溶劑(尤其對於CVD方法)以各種組合使用,以形成前驅組合物。本文中所揭示之一些含金屬化合物可用於ALD而無需添加溶劑。如本文中所使用之"前驅物"及"前驅組合物"係指一種組合物,其可單獨或與其他前驅組合物(或反應物)一起用於在基材組合體上以沈積方法形成層。此外,熟習此項技術者應瞭解所用前驅物之類型及量將視利用氣相沈積方法最終欲形成之層之含量而定。在如本文中所述之方法之某些實施例中,前驅組合物在汽化溫度下為液體,且在室溫下有時為液體。
前驅組合物在室溫下可為液體或固體,且對於某些實施例而言,前驅組合物在汽化溫度下為液體。通常,其為具有足夠揮發性、可利用已知氣相沈積技術加以使用的液體。然而,作為固體,其亦可具有足夠的揮發性,因此可利用已知氣相沈積技術使其由固態汽化或昇華。若其為弱揮發性固體,則可將其充分溶於有機溶劑中,或其可具有 低於其分解溫度之熔點,以使得其可以例如閃蒸汽化、鼓泡、微滴形成等技術加以使用。
在本文中,使用時,汽化含金屬化合物可單獨使用或視情況與其他含金屬化合物之汽化分子一起使用或視情況與汽化溶劑分子或惰性氣體分子一起使用。如本文中所使用,"液體"係指溶液或純淨液體(在室溫下為液體,或在室溫下為固體,而該固體在高溫下可熔融)。如本文中所使用,"溶液"並不要求固體完全溶解,而可容許一些固體未溶解,其限制條件為化學氣相沈積處理使有機溶劑變成蒸氣相可傳遞足量固體。若沈積中使用溶劑稀釋,則所產生之溶劑蒸氣之總莫耳濃度亦可依惰性載氣考量。
如本文中所使用之"惰性氣體"或"非反應性氣體"為通常不與其所接觸之組分反應的任何氣體。舉例而言,惰性氣體通常選自由以下各物組成之群:氮氣、氬氣、氦氣、氖氣、氪氣、氙氣、其他任何非反應性氣體及其混合氣體。該等惰性氣體通常在如本文中所述之一或多種沖洗方法中使用,且在一些實施例中,亦可用於協助前驅物蒸氣輸送。
適用於如本文中所述之方法之某些實施例的溶劑可為以下溶劑中之一或多者:脂族烴或不飽和烴(C3 -C20 ,且在某些實施例中,為C5 -C10 、環烴、支鏈或直鏈烴)、芳族烴(C5 -C20 ,且在某些實施例中,為C5 -C10 )、鹵代烴、矽烷化烴(諸如烷基矽烷)、烷基矽酸鹽、醚、環醚(例如四氫呋喃(THF))、聚醚、硫醚、酯、內酯、腈、矽油或含有上述任 意者之組合之化合物,或上述一或多者之混合物。該等化合物通常亦彼此相容,以使得數量可變之含金屬化合物之混合物不會相互作用而顯著改變其物理性質。
如本文中所述之方法使用金屬前驅化合物。如本文中所使用,"金屬前驅化合物"用於指可在原子層沈積方法中提供金屬源的化合物。此外,在一些實施例中,該等方法包括"金屬有機"前驅化合物。術語"金屬有機"物廣義上意欲指除金屬外亦包括有機基團(亦即含碳基團)的化合物。因此,術語"金屬有機"物包括(但不限於)有機金屬化合物、金屬-配位基錯合物、金屬鹽及其組合。
廣泛多種金屬有機鍶前驅化合物可用於如本文中所述之方法。金屬有機鍶前驅化合物之實例包括(但不限於)β-二酮酸鍶、β-二烯酮亞胺基鍶(例如,雙(N,N'-二異丙基-2,4-戊二亞胺基)鍶)、脒基鍶(例如,雙(N-二甲基-N',N"-二異丙基胍基)鍶)、環戊二烯基鍶(例如,雙(三異丙基環戊二烯基)鍶、二有機醯胺鍶(例如Sr[N(SiMe3 )2 ]2 )、羧酸鍶、烷醇鍶及其組合。
β-二酮酸鍶在本文中定義為包括至少一個β-二酮酸根配位基的含鍶化合物。如本文中所使用,"一"、"該"及"至少一"可互換使用且意謂一個或多於一個。β-二酮酸根配位基實例包括例如2,2,6,6-四甲基-3,5-庚二酮酸根(thd)、1-(2-甲氧基乙氧基)-2,2,6,6-四甲基-3,5-庚二酮酸根(methd)、六氟乙醯基丙酮酸根、1,1,1-三氟-2,4-戊二酮酸根、2,2-二甲基-6,6,7,7,8,8,8-七氟-3,5-辛二酮酸根(fod)及 其組合。金屬有機β-二酮酸鍶前驅化合物實例包括Sr(thd)2 、Sr(methd)2 、Sr(fod)2 及其組合。
廣泛多種鈦前驅化合物可用於如本文中所述之方法中。在某些實施例中,鈦前驅化合物可為無機前驅化合物(例如四氯化鈦(TiCl4 ))。在其他某些實施例中,鈦前驅化合物可為金屬有機前驅化合物。舉例而言,金屬有機鈦前驅化合物可具有式Ti(AR1 x )4 ,其中:A為O、N、C(O)或OC(O);且R1 為(C1 -C10 )烷基;其中R1 烷基中之兩者可視情況連在一起形成伸烷基;且x=1或2。
金屬有機鈦前驅化合物實例包括(但不限於)烷醇鈦、醯胺鈦、醯亞胺鈦、β-二酮酸鈦及其組合。金屬有機鈦前驅化合物實例包括(但不限於)肆(異丙氧基)鈦(Ti(OiPr)4 );肆(二乙胺基)鈦(Ti(NEt2 )4 );肆(二甲胺基)鈦(Ti(NMe2 )4 );肆(乙基甲基胺基)鈦(Ti(NEtMe)4 );二烷基醯胺三氯鈦;及其組合。
金屬有機鈦前驅化合物實例亦包括(但不限於)β-二酮酸鈦,其在本文中定義為包括至少一個β-二酮酸根配位基的含鈦化合物。β-二酮酸根配位基實例包括例如2,2,6,6-四甲基-3,5-庚二酮酸根(thd)、1-(2-甲氧基乙氧基)-2,2,6,6-四甲基-3,5-庚二酮酸根(methd)、六氟乙醯基丙酮酸根、1,1,1-三氟-2,4-戊二酮酸基、2,2-二甲基-6,6,7,7,8,8,8-七氟-3,5-辛二酮酸根(fod)及其組合。β-二酮酸鈦前驅化合物實例包括(但不限於)Ti(thd)2 (OiPr)2 、Ti(mpd)(thd)2 及其組合,其中mpd=2-甲基-2,4-戊二氧基;thd=2,2,6,6-四甲基-3,5-庚 二酮酸根;且OiPr=異丙氧基。
金屬有機鈦前驅化合物之另一實例包括Ti(2 meip)2 ,其中2 meip=4-(2-甲基乙氧基)亞胺基-2-戊酸根。
為本揭示案起見,如本文中所使用之術語"有機基團"用於意謂烴基團,其分類為脂族基團、環基團或脂族與環基團之組合(例如烷芳基及芳烷基)。在本揭示案之上下文中,適用於本揭示案之含金屬化合物的有機基團為不干擾金屬氧化物層之形成(利用氣相沈積技術)的彼等有機基團。在本揭示案之上下文中,術語"脂族基團"意謂飽和或不飽合直鏈或支鏈烴基團。該術語用於涵蓋例如烷基、烯基及炔基。術語"烷基"意謂飽和直鏈或支鏈單價烴基團,其包括例如甲基、乙基、正丙基、異丙基、第三丁基、戊基、庚基及其類似基團。術語"烯基"意謂具有一或多個烯系不飽合基團(亦即碳-碳雙鍵)的不飽合直鏈或支鏈單價烴基團,諸如乙烯基。術語"炔基"意謂具有一或多個碳碳參鍵的不飽合直鏈或支鏈單價烴基團。術語"環基團"意謂閉環烴基團,其分類為脂環基團、芳族基團或雜環基團。術語"脂環基團"意謂具有與脂族基團之性質類似之性質的環烴基團。術語"芳族基團"或"芳基"意謂單核或多核芳族烴基團。術語"雜環基團"意謂環原子中之一或多者為除碳以外之元素(例如氮、氧、硫等)的閉環烴。
作為簡化遍及本申請案中所用某些術語之論述及敍述之方式,利用術語"基團"及"部分"來區分容許取代或可經取代之化學物質與不如此容許取代或不可如此經取代之化學 物質。因此,當利用術語"基團"描述化學取代基時,所述化學物質包括未經取代之基團及鏈中具有例如非過氧性O、N、S、Si或F原子以及羰基或其他習知取代基的彼基團。若利用術語"部分"描述化合物或取代基時,則意欲僅包括未經取代之化學物質。舉例而言,詞語"烷基"意欲不僅包括純開鏈飽和烴烷基取代基(諸如甲基、乙基、丙基、第三丁基及其類似取代基),而且包括攜有此項技術中已知之其他取代基(諸如羥基、烷氧基、烷基磺醯基、鹵素原子、氰基、硝基、胺基、羧基等)的烷基取代基。因此,"烷基"包括醚基團、鹵烷基、硝基烷基、羧基烷基、羥基烷基、磺基烷基等。另一方面,詞語"烷基部分"限於僅包括純開鏈飽和烴烷基取代基,諸如甲基、乙基、丙基、第三丁基及其類似取代基。
如本文中所述之前驅組合物視情況可汽化且與一或多種反應氣體大體同時及在一或多種反應氣體存在下沈積/化學吸附。或者,可藉由在各沈積週期期間將前驅組合物與反應氣體交替導入來形成含金屬層。該等反應氣體可包括例如含氮源(例如氨)及含氧源(其可為氧化性氣體)。可使用廣泛多種適當氧化性氣體,例如空氣、氧氣、水蒸氣、臭氧、氮氧化物(例如一氧化氮)、過氧化氫、醇(例如異丙醇)及其組合。
含金屬層可沈積於例如基材(例如半導體基材或基材組合體)上。如本文中所使用之"半導體基材"或"基材組合體"係指諸如基底半導體層之半導體基材或其上形成有一或多 個層、結構或區域的半導體基材。基底半導體層通常為晶圓上之最底層矽材料層或沈積於另一材料上之矽層,諸如藍寶石上矽。當提及基材組合體時,可預先利用多種方法步驟形成或界定區域、接面、各種結構或特徵及開口,諸如電晶體、有效區、擴散區、植入區域、通道、接觸孔、高縱橫比開口、電容器極板、電容器障壁等。
如本文中所使用之"層"係指可根據本文中所述之沈積方法由一或多種前驅物及/或反應物形成於基材上的任何層。術語"層"意欲包括特定於半導體工業的層,諸如(但顯然不限於)障壁層、介電層(亦即具有高介電常數的層)及導電層。術語"層"與半導體工業中常用之術語"膜"同義。術語"層"亦意欲包括見於半導體技術以外之技術中的層,諸如玻璃上之塗層。舉例而言,該等層可直接形成於作為不同於半導體基材之基材的纖維、導線等之上。此外,該等層可直接形成於基材之最底層半導體表面上,或其可形成於多種層之任何層(例如表面)上,如在例如圖案化晶圓中。
本揭示案之適當基材包括導電性材料、半導體材料、導電性金屬氮化物、導電性金屬、導電性金屬氧化物等。其上可形成含金屬層的基材可為半導體基材或基材組合體。本發明涵蓋廣泛多種半導體材料,諸如硼磷矽玻璃(BPSG)、矽(諸如導電性摻雜多晶矽、單晶矽等(對於本揭示案,矽之適當形式簡稱為"矽"),例如以下形式:矽晶圓、正矽酸四乙酯(TEOS)氧化物、旋塗式玻璃(亦即,藉 由旋塗法所沈積之視情況摻雜之SiO2 薄層))、TiN、TaN、W、Ru、Al、Cu、貴金屬等。基材組合體亦可含有包括例如以下各物之層:鉑、銥、氧化銥、銠、釕、氧化釕、釕酸鍶、鎳酸鑭、氮化鈦、氮化鉭、氮化鉭矽、二氧化矽、鋁、砷化鎵、玻璃等,及半導體構造中所使用之其他現有材料或待開發材料,諸如動態隨機存取記憶體(DRAM)裝置、靜態隨機存取記憶體(SRAM)裝置及鐵電記憶體(FERAM)裝置。
對於包括半導體基材或基材組合體的基材,該等層可直接形成於基材之最底層半導體表面上,或其可形成於多種層之任何層(亦即表面)上,如在例如圖案化晶圓中。
本發明所揭示之方法中亦可使用除半導體基材或基材組合體以外的基材。可使用可有利地於其上形成含金屬層(諸如金屬氧化物層)的任何基材,該等基材包括例如纖維、導線等。
需要時,前驅組合物可在惰性載氣存在下汽化。此外,可在ALD方法之沖洗步驟中使用惰性載氣(下文論述)。惰性載氣通常為氮氣、氦氣、氬氣等氣體中之一或多者。在本揭示案之上下文中,惰性載氣為不干擾含金屬層之形成的氣體。無論是否在惰性載氣存在下執行,汽化均可在無氧情況下執行以免層被氧污染(例如,矽氧化形成二氧化矽後或蒸氣相中前驅物氧化後進入沈積腔室)。
如本文中所使用之術語"沈積方法"及"氣相沈積方法"係指在基材(例如摻雜多晶矽晶圓)之一或多個表面上由包括 一或多種含金屬化合物之汽化前驅組合物形成含金屬層的方法。具體而言,將一或多種含金屬化合物汽化且導向至及/或接觸置於沈積腔室內之基材(例如半導體基材或基材組合體)之一或多個表面。通常將基材加熱。該等含金屬化合物可在基材之表面上形成(例如藉由反應或分解)非揮發性均勻含金屬薄層。為本揭示案起見,術語"氣相沈積方法"意欲包括化學氣相沈積方法(包括脈衝化學氣相沈積方法)及原子層沈積方法。
如本文中所使用之術語"原子層沈積"(ALD)係指在處理腔室(亦即沈積腔室)中執行沈積週期(例如複數個連續沈積週期)的氣相沈積方法。通常,在每個週期期間,將前驅物化學吸附至沈積表面(例如基材組合體表面或預先沈積之下伏表面,諸如獲自前一ALD週期之材料),形成不易與其他前驅物反應(亦即自我限制反應)的單層或亞單層。之後,必要時,可隨後將反應物(例如另一前驅物或反應氣體)導入處理腔室內以用於將經化學吸附之前驅物在沈積表面上轉化為所要物質。通常,該反應物能夠進一步與前驅物反應。此外,每個週期期間亦可利用沖洗步驟將過量前驅物自處理腔室中移除及/或在經化學吸附之前驅物之轉化之後將過量反應物及/或反應副產物自處理腔室中移除。此外,如本文中所使用之術語"原子層沈積"亦意欲包括藉由諸如以下術語之相關術語命名之方法:"化學氣相原子層沈積法"、"原子層磊晶法"(ALE)(參見頒予Ackerman的美國專利第5,256,244號)、分子束磊晶法 (MBE)、氣體源MBE或有機金屬MBE,及化學束磊晶法(當用前驅組合物、反應性氣體及沖洗氣體(例如惰性載氣)交替脈衝執行時)。
與單週期化學氣相沈積(CVD)方法相比,持續時間較長之多週期ALD方法容許藉由自我限制層生長改良對層厚度及組成的控制且藉由分離反應組分將有害的氣相反應減至最少。ALD之自我限制性質提供在多種反應性表面(包括具有不規則構形之表面)上沈積膜的方法,其階梯覆蓋率優於用CVD或其他"視線"沈積方法(諸如蒸發或物理氣相沈積(PVD或濺鍍法))可達成的階梯覆蓋率。
在某些實施例中,如在本文中所揭示之原子層沈積方法包括複數個沈積階段,諸如初期氧化鈦沈積階段、中期沈積階段及後期氧化鈦沈積階段。各沈積階段包括複數個沈積週期。
舉例而言,氧化鈦沈積階段(例如初期氧化鈦沈積階段及/或後期氧化鈦沈積階段)包括複數個氧化鈦沈積週期。通常,各氧化鈦沈積週期可包括例如至少一劑鈦前驅物、第一沖洗、至少一劑氧化劑及第二沖洗。
對於另一實例,中期沈積階段包括複數個氧化鍶沈積週期及複數個氧化鈦沈積週期(如上文中所述)。通常,各氧化鍶沈積週期可包括例如至少一劑鍶前驅物、第一沖洗、至少一劑氧化劑及第二沖洗。
化學氣相沈積法(CVD)及原子層沈積法(ALD)為常用於在半導體基材上形成連續均勻含金屬薄層的兩種氣相沈積 方法。通常利用任一種氣相沈積方法將一或多種前驅組合物於沈積腔室中汽化且視情況與一或多種反應氣體組合且導向至及/或接觸基材以在基材上形成含金屬層。對於熟習此項技術者而言,顯然氣相沈積方法可藉由使用不同的相關技術強化,該等技術諸如電漿輔助、光輔助、雷射輔助以及其他技術。
典型的CVD方法可在化學氣相沈積反應器中進行,諸如可以7000之商標名購自Genus, Inc. (Sunnyvale, CA)的沈積腔室、可以5000之商標名購自Applied Materials, Inc. (Santa Clara, CA)的沈積腔室或可以Prism之商標名購自Novelus, Inc. (San Jose, CA)的沈積腔室。然而,可使用任何適用於執行CVD的沈積腔室。
本揭示案之方法中所使用的氣相沈積方法可為多週期原子層沈積(ALD)方法。該方法有利之處(尤其優於CVD方法之處)在於,其藉由提供複數個自我限制式沈積週期來提供對沈積層(例如介電層)之原子級厚度及均勻度之改良控制。ALD之自我限制性質提供在廣泛多種反應性表面(包括例如具有不規則構形之表面)上沈積膜的方法,其階梯覆蓋率優於用CVD或其他"視線"沈積方法(例如蒸發或物理氣相沈積,亦即PVD或濺鍍法)可達成的階梯覆蓋率。此外,ALD方法通常使含金屬化合物暴露以降低揮發作用及反應溫度,與例如典型的CVD方法相比,此傾向於減少前驅物之降解。
一般而言,在ALD方法中,將各反應物在通常至少25℃ (在某些實施例中,至少150℃;且在其他實施例中,至少200℃)之沈積溫度下以脈衝方式傳遞至適當基材上。典型的ALD沈積溫度不大於400℃,在某些實施例中不大於350℃,且在其他實施例中不大於250℃。該等溫度一般低於目前CVD方法中所使用之溫度,CVD方法通常包括基材表面之至少150℃(在一些實施例中至少200℃;且在其他實施例中至少250℃)之沈積溫度。典型的CVD沈積溫度不大於600℃,在某些實施例中不大於500℃,且在其他實施例中不大於400℃。
在該等條件下,藉由ALD進行之膜生長通常為自我限制式的(亦即,在ALD方法中,當表面上之反應性位置用盡時,沈積一般停止),此不僅確保優異之保形性,而且確保良好之大面積均勻度,以及簡單且準確的組成及厚度控制。由於前驅組合物及/或反應氣體交替給料,因此與藉由前驅物及/或反應氣體之連續共反應而執行之CVD方法相反,其可固有地消除有害蒸氣相反應。(參見Vehkamaki等人,"Growth of SrTiO3 and BaTiO3 Thin Films by Atomic Layer Deposition," Electrochemical and Solid-State Letters, 2(10):504-506 (1999))。
典型的ALD方法包括使基材(其視情況可經例如水及/或臭氧預處理)暴露於第一化學物質以完成該物質在基材上之化學吸附。如本文中所使用之術語"化學吸附"係指含汽化反應性含金屬化合物在基材表面上之化學吸附。由於以高吸附能(例如>30 kcal/mol)為特徵之結合力相對較強(其 強度相當於普通化學鍵),因此所吸附之物質通常不可逆地與基材表面結合。經化學吸附之物質通常在基材表面上形成單層。(參見"The Condensed Chemical Dictionary,"第10版,G. G. Hawley修訂,Van Nostrand Reinhold Co.出版,New York, 225 (1981))。ALD技術係基於藉由化學吸附形成反應性前驅物分子之飽和單層之原理。在ALD中,將一或多種適當前驅組合物或反應氣體交替地導入(例如以脈衝方式導入)沈積腔室內且使其化學吸附於基材表面上。通常藉由惰性載氣沖洗將反應性化合物(例如一或多種前驅組合物及一或多種反應氣體)之每次依序導入分開。各前驅組合物之共反應使預先沈積之層上增添新原子層至以形成累積固體層。重複該週期以逐步形成所要層厚度。應瞭解,ALD可交替地使用一種經化學吸附之前驅組合物及一種與經化學吸附之物質反應的反應氣體。
實際上,化學吸附可不發生於沈積表面(例如預先沈積之ALD材料)之所有部分上。然而,在本揭示案之上下文中,該未完成單層仍視為單層。在很多應用中,惟大體飽和之單層可適用。在一態樣中,大體飽和之單層為仍可產生呈現所要品質及/或性質之沈積單層或更少材料的單層。在另一態樣中,大體飽和之單層為對於與前驅物進一步反應具有自我限制性的單層。
典型的ALD方法包括使初始基材暴露於第一化學物質A(例如,如本文中所述之含金屬化合物)以完成該物質在基材上之化學吸附。物質A可與基材表面反應或與物質 B(下述)反應,但不與自身反應。在化學吸附中,物質A之配位基中之一或多者通常被基材表面上之反應性基團置換。理論上,化學吸附在所暴露之完整初始基材上形成一個原子或分子厚度之均勻單層,該單層由缺少任何經置換之配位基的物質A組成。換言之,飽和單層大體形成於基材表面上。實際上,化學吸附可不發生於基材之所有部分上。然而,在本揭示案之上下文中,該部分單層仍視為單層。在很多應用中,惟大體飽和之單層可適用。大體飽和之單層為仍可產生呈現該層所要品質及/或性質之沈積層的單層。
將第一物質(例如物質A之大體所有之未經化學吸附分子)以及經置換之配位基自基材上沖洗掉,提供第二物質B(例如不同的含金屬化合物或反應物氣體)以與物質A之單層反應。物質B通常置換物質A單層中之剩餘配位基且藉此被化學吸附且形成第二單層。該第二單層呈現僅與物質A反應的表面。接著沖洗未經化學吸附之物質B以及經置換之配位基及其他反應副產物,且經由使物質B單層暴露於汽化物質A來重複該等步驟。視情況,第二物質可與第一物質反應,但其不化學吸附其他材料。亦即,第二物質可使經化學吸附之第一物質之一些部分分裂,從而在不於其上形成另一單層的情況下修改該單層,但保留可供後續單層形成之用的反應性位置。在其他ALD方法中,正如對於第一及第二物質所述,可將第三物質或更多物質連續化學吸附(或反應)且沖洗,應瞭解各導入物質可與其臨導入 前即刻產生之單層反應。需要時,第二物質(或第三物質或後續物質)視情況可包括至少一種反應氣體。
因此,使用ALD可提供改良對基材上含金屬層之厚度、組成及均勻度之控制的能力。舉例而言,以複數個週期沈積含金屬化合物之薄層可提供對最終膜厚度之更準確控制。當將前驅組合物(視情況進一步包括至少一種可與基材上經化學吸附之物質反應的反應氣體)導向至基材且使其化學吸附於基材上時(且在某些實施例中,將該週期重複至少一次),此尤其有利。
沈積/化學吸附於基材上之後,對各種物質之過量氣體之沖洗可包括多種技術,包括(但不限於):使基材及/或單層與惰性載氣接觸;及/或將壓力降低至低於沈積壓力以降低接觸基材之物質及/或經化學吸附之物質之濃度。如上所述之載氣實例可包括N2 、Ar、He等。此外,沖洗可替代性地包括使基材及/或單層與容許在導入另一物質之前使化學吸附副產物解吸且降低接觸物質之濃度的任何物質接觸。可基於特定沈積方法之產物之規格,將接觸物質減少至熟習此項技術者已知之某些適當濃度或分壓。
ALD通常描述為自我限制法,原因在於基材上存在之可與第一物質形成化學鍵之位置的數目有限。第二物質僅可與由第一物質之化學吸附所形成之表面反應,且因此亦可為自我限制式的。在基材上所有有限數目之位置與第一物質鍵結後,第一物質將不與已與基材鍵結之第一物質以外之其他者鍵結。然而,可在ALD中改變製程條件以促進該 鍵結且使得ALD不具有自我限制性,例如更似脈衝之CVD。因此,ALD亦可涵蓋:除藉由物質堆疊一次形成一個單層外,物質可形成一個原子或分子厚度以上之層。
所述方法表明,由於可存在微量的第二前驅物,因此在第一前驅物之化學吸附期間,第二前驅物(亦即第二物質)"大體不存在"。根據一般技術者之經驗,可作出判定以便選擇可容許量之第二前驅物及製程條件來達成第二前驅物之大體不存在。
因此,在ALD方法期間,在沈積腔室中執行多個連續沈積週期,每個週期沈積極薄的含金屬層(一般少於一個單層以使得平均生長速率為每個週期為0.02奈米至0.3奈米),直至在所關注之基材上積聚具有所要厚度之層為止。如下完成層沈積:將前驅組合物交替地導入(亦即,以脈衝方式導入)含有基材之沈積腔室內,使前驅組合物作為單層化學吸附於基材表面上,沖洗沈積腔室,接著以複數個沈積週期將經化學吸附之前驅組合物反應氣體及/或其他前驅組合物導入直至獲得所要厚度之含金屬層為止。
前驅組合物及惰性載氣之脈衝持續時間一般為足以使基材表面飽和的持續時間。通常,脈衝持續時間為至少0.1秒,在某些實施例中為至少0.2秒,且在其他實施例中為至少0.5秒。通常,脈衝持續時間一般不大於2分鐘,且在某些實施例中不大於1分鐘。
與以熱驅動為主之CVD相比,ALD主要以化學方式驅 動。因此,ALD可有利地在遠低於CVD之溫度下執行。在ALD方法期間,基材溫度可維持在足夠低的溫度下以維持經化學吸附之前驅組合物與下伏基材表面之間的完好鍵結且防止前驅組合物分解。另一方面,溫度必須足夠高以避免前驅組合物冷凝。通常,將基材保持在至少25℃(在某些實施例中,至少150℃;且在其他某些實施例中,至少200℃)之溫度下。通常將基材保持在不大於400℃(在某些實施例中,不大於350℃;且在其他某些實施例中,不大於300℃)之溫度下,如上所述,該溫度一般低於目前典型CVD方法中所使用之溫度。因此,第一物質或前驅組合物在此溫度下被化學吸附。第二物質或前驅組合物之表面反應可在與第一前驅物之化學吸附大體相同之溫度下發生,或視情況在大體不同之溫度下發生。顯然,如一般技術者所判斷,雖可發生一些小的溫度變化,但藉由在統計上得到反應速率與在第一前驅物化學吸附之溫度下發生之反應速率相同,仍認為溫度大體相同。或者,化學吸附及後續反應可替代地發生在大體確切相同之溫度下。
對於典型的氣相沈積方法,沈積腔室內部壓力可為至少10-8 托(1.3×10-6 帕斯卡,"Pa"),在某些實施例中為至少10-7 托(1.3×10-5 Pa),且在其他某些實施例中,為至少10-6 托(1.3×10-4 Pa)。此外,沈積壓力通常不大於10托(1.3×103 Pa),在某些實施例中不大於5托(6.7×102 Pa),且在其他某些實施例中不大於2托(2.7×102 Pa)。通常,在每個週期將汽化前驅組合物導入腔室內及/或反應之後,用惰性載氣 沖洗沈積腔室。惰性載氣亦可在每個週期期間與汽化前驅組合物一起導入。
前驅組合物之反應性可明顯影響ALD之製程參數。在典型的CVD製程條件下,高反應性化合物可在氣相中反應而產生微粒,提前沈積在非所要表面上,從而產生劣質膜及/或產生不良階梯覆蓋率或產生不均勻沈積。至少出於此理由,可認為高反應性化合物不適用於CVD。然而,一些不適用於CVD的化合物為優良ALD前驅物。舉例而言,若第一前驅物可與第二前驅物發生氣相反應,則化合物之該組合可能不適用於CVD,但其可用於ALD中。在CVD環境中,當使用高氣相反應性前驅物時,如熟習此項技術者已知,亦會存在有關黏附係數及表面遷移率之考量,然而在ALD環境中幾乎不存在該考量。
基材上形成層之後,視情況可在沈積腔室中、在還原性、惰性、電漿或氧化性氣氛下當場執行退火製程。退火溫度通常可為至少400℃,在一些實施例中為至少500℃,且在一些其他實施例中為至少600℃。退火溫度通常不大於1000℃,在一些實施例中不大於750℃,且在一些其他實施例中不大於700℃。
退火操作通常執行至少0.5分鐘之時段,且在某些實施例中執行至少1分鐘之時段。此外,退火操作通常執行不大於60分鐘之時段,且在某些實施例中執行不大於10分鐘之時段。
熟習此項技術者將瞭解該等溫度及時段可改變。舉例而 言,可使用熔爐退火及快速熱退火,且此外,可以一或多個退火步驟執行該等退火。
如上所述,本揭示案之化合物之用途及膜形成方法有益於半導體結構中之廣泛多種薄膜應用,尤其使用高介質電容率材料的彼等應用。舉例而言,該等應用包括閘極介電質及電容器,諸如平板式電池、溝渠式電池(例如雙側壁溝渠式電容器)、堆疊式電池(例如,鋅錳鹼性(crown)電池、V-電池、δ電池、多指或圓筒容器形堆疊電池)以及場效應電晶體裝置。
可用於執行如本文中所述之原子層沈積方法的實例系統展示於圖2中。為簡化起見,圖2中所說明之系統表示為具有單晶圓腔室之單晶圓機台。然而,應瞭解本文中所揭示之方法可應用於其他系統,包括(但不限於)小批量系統及全熔爐沈積系統。圖2中所說明之系統包括封閉式氣相沈積腔室10,其中可使用渦輪泵12及前級泵14形成真空。一或多個基材16(例如半導體基材或基材組合體)可置於腔室10內。可對基材16確立恆定的標稱溫度,該標稱溫度可視所用方法而變。可藉由例如電阻加熱器18來加熱基材16,基材16可安裝於電阻加熱器18上。亦可使用其他已知的基材加熱方法。
在此方法中,鈦前驅組合物30及鍶前驅組合物31可分別儲存於容器20及容器21中。容器22可用於儲存可選溶劑32。需要時,藉由致動閥80、82、84及86可將前驅組合物30及前驅組合物31及可選溶劑32維持在由惰性氣體40所供 給之惰性氣氛下。需要時,藉由致動閥81、83、85、87及88可將可選惰性載氣42(其可與惰性氣體40相同或不同)、鈦前驅組合物30、鍶前驅組合物31及/或可選溶劑32獨立地傳遞至可選歧管50、可選汽化器60及沈積腔室10。需要時,藉由致動閥89可沿管線72供給反應氣體44。需要時,藉由致動閥90可沿管線74供給可選氣體46(例如沖洗氣體,其可與惰性氣體40及/或惰性載氣42相同或不同)。
圖3展現如電容器構造實例中所使用之本揭示案之含金屬層之ALD形成實例。參看圖3,電容器構造200包括其中形成有導電性擴散區215的基材210。基材210可包括例如矽。基材210上提供絕緣層260,諸如BPSG,其中提供接觸孔280通向擴散區215。導電性材料290裝填接觸孔280,且可包括例如鎢或導電性摻雜多晶矽。電容器構造200包括第一電容器電極(底電極)220、可藉由如本文中所述之方法形成之介電層240,及第二電容器電極(頂電極)250。
應瞭解,圖3為實例構造,且如本文中所述之方法可用於在任何基材上(例如在半導體結構上)形成層,且該等應用包括電容器,諸如平板式電池、溝渠式電池(例如雙側壁溝渠式電容器)、堆疊式電池(例如鋅錳鹼性電池、V-電池、δ電池、多指或圓筒容器形堆疊式電容器)以及場效應電晶體裝置。
此外,擴散障壁層可視情況在介電層240上形成,且可例如包括TiN、TaN、金屬矽化物或金屬矽化物-氮化物。儘管擴散障壁層被描述為不同層,但應瞭解障壁層可包括 導電性材料,且在該等實施例中可相應地理解為包括電容器電極之至少一部分。在包括擴散障壁層的某些實施例中,電容器電極之整體可包括導電性障壁層材料。
以下實例用來進一步說明本揭示案之不同具體實施例及技術。然而應瞭解,可作出一般技術者所瞭解之諸多變更及修改,而該等變更及修改仍屬於本揭示案之範圍內。因此,不希望本揭示案之範圍受以下實例限制。
實例
實例1:使用Sr(thd)2 作為金屬有機鍶前驅化合物、使用Ti(mpd)(thd)2 作為鈦前驅化合物且使用臭氧作為反應氣體,在鉑基材上藉由ALD沈積鈦酸鍶層。鈦前驅物流動速率為每分鐘0.8毫升(ml);鍶前驅物流動速率為每分鐘0.8ml;且臭氧流動速率為3標準公升/分鐘(slm)(使用15體積%臭氧)。在每個氧化鈦及氧化鍶沈積週期之後,將管線用流動速率為每分鐘0.4毫升至1毫升之四氫呋喃吹洗15秒至30秒。使用290℃之汽化器溫度、1托至2托(1.3×102 Pa至2.6x102 Pa)之製程壓力及300℃至350℃之基材溫度執行沈積。使用渦輪泵執行抽吸以將壓力降至最低20毫托(2.7Pa)。
各氧化鈦沈積週期由60秒鈦前驅物劑量、30秒沖洗、30秒氧化劑劑量及20秒沖洗組成。各氧化鍶沈積週期由30秒鍶前驅物劑量、30秒沖洗、30秒臭氧劑量及30秒沖洗組成。
初期氧化鈦沈積階段使用11個氧化鈦沈積週期沈積鈦酸 鍶層。中期沈積階段由3個氧化鍶沈積週期、繼之20組依序之11個氧化鈦沈積週期及3個氧化鍶沈積週期組成。最後,後期氧化鈦沈積階段使用11個氧化鈦沈積週期。
沈積完成之後,將所沈積之層在600℃下快速熱處理(RTP)退火5分鐘,形成約35奈米至40奈米厚度之鈦酸鍶層。對該層進行X射線光電子光譜(XPS)分析(在中心處量測),且原子濃度(原子百分比;Y軸)與深度(奈米;X軸)之關係圖說明於圖4中。該關係圖表明,在整個層之主體中(例如約2奈米至約33奈米),鈦之原子濃度與鍶之原子濃度大體相同。
亦收集如實例1中所述製備之鈦酸鍶之X射線繞射(XRD)資料,且將該等資料與以類似方式製備、但不經初期及後期氧化鈦沈積階段之鈦酸鍶(亦即比較實例1)之資料對比。圖5a中所提供之資料中顯示碳酸鍶峰值(SrCO3 ),該圖為針對比較實例1之對熔爐頂部、中心(ctr)及底部(btm)之物質所量測之強度(任意單位或A.U.;Y軸)與2θ(度;X軸)之關係圖。相反,圖5b中所提供之資料中未顯示碳酸鍶峰值,該圖為如實例1中所述製備之鈦酸鍶在沈積時及在退火後所量測之強度(任意單位或A.U.;Y軸)與2θ(度;X軸)之關係圖。退火之後,顯示所要鈦酸鍶(STO)之峰值。
比較實例1之角度解析X射線光電子光譜(標為"1")及如實例1中所述製備之鈦酸鍶之角度解析X射線光電子光譜(標為"2")說明於圖6中,其為所關注之光譜範圍內結合能(電子伏特或E.V.;X軸)之掃描(每秒計數;Y軸)。圖6a為 氧多重態圖形之說明,且展現掃描1(比較實例1)與掃描2(實例1)中之鈦酸鍶(標為"STO")峰值。掃描2(實例1)之碳酸鹽及偶生表面碳(標為"碳酸鹽")之峰值顯著小於掃描1(比較實例1)。圖6b為碳多重態圖形之說明。同樣,掃描2(實例1)之碳酸鹽及偶生表面碳(標為"碳酸鹽")之峰值顯著小於掃描1(比較實例1)。
結論
本文中所述之方法之一些實施例可在基材(例如半導體基材或基材組合體)上形成含金屬層。該方法可包括:提供包括至少一種鈦前驅化合物的蒸氣;提供包括至少一種金屬有機鍶前驅化合物的蒸氣;視情況提供反應氣體(例如氧化性氣體);及使該包括該至少一種鈦前驅化合物的蒸氣、該包括該至少一種鍶前驅化合物的蒸氣及視情況之該反應氣體與基材接觸,以利用包括複數個沈積週期的原子層沈積方法在該基材之至少一表面上形成含金屬層。在某些實施例中,該複數個沈積週期包括初期氧化鈦沈積階段,其包括至少一個氧化鈦沈積週期。在其他某些實施例中,該複數個沈積週期包括後期氧化鈦沈積階段,其包括至少一個氧化鈦沈積週期。在又其他某些實施例中,該複數個沈積週期包括初期氧化鈦沈積階段與後期氧化鈦沈積階段兩者,兩階段皆包括至少一個氧化鈦沈積週期。在某些實施例中,該複數個沈積週期進一步包括中期沈積階段,其包括複數個氧化鍶沈積週期及複數個氧化鈦沈積週期。視情況,該方法可進一步包括使該含金屬層退火以形 成例如鈦酸鍶層(例如介電層)。在某些實施例中,該鈦酸鍶層可具有低碳含量(例如低碳酸鍶含量)。
如本文中所述之方法之一些其他實施例可用於製造半導體結構。該方法可包括:提供包括至少一種鈦前驅化合物的蒸氣;提供包括至少一種金屬有機鍶前驅化合物的蒸氣;將該包括該至少一種鈦前驅化合物的蒸氣導向至含有半導體基材或基材組合體的原子層沈積腔室,且使該至少一種鈦前驅化合物化學吸附至該半導體基材組合體之至少一表面;及將該包括該至少一種鍶前驅化合物的蒸氣導向至該原子層沈積腔室且使該至少一種鍶前驅化合物化學吸附至該半導體基材組合體之至少一表面,以利用包括複數個沈積週期的原子層沈積方法在該半導體基材或基材組合體之至少一表面上形成含金屬層。如本文中進一步所述,該複數個沈積週期可包括:包括至少一個氧化鈦沈積週期的初期氧化鈦沈積階段及/或包括至少一個氧化鈦沈積週期的後期氧化鈦沈積階段。
如本文中所述之方法之其他某些實施例可用於製造記憶體裝置。該方法可包括:提供包括至少一種鈦前驅化合物的蒸氣;提供包括至少一種金屬有機鍶前驅化合物的蒸氣;視情況提供至少一種反應氣體;及使該包括該至少一種鈦前驅化合物的蒸氣及該包括該至少一種鍶前驅化合物的蒸氣與其上具有第一電極之基材接觸,以利用包括複數個沈積週期的原子層沈積方法在該基材之該第一電極之至少一部分上形成介電層。如本文中進一步所述,該複數個 沈積週期可包括:包括至少一個氧化鈦沈積週期的初期氧化鈦沈積階段及/或包括至少一個氧化鈦沈積週期的後期氧化鈦沈積階段。該方法可進一步包括在該介電層上形成第二電極。
本文中所引用之專利、專利文件及公開案之完整揭示內容以引用方式全文併入本文中,其併入程度仿佛各自單獨併入一般。顯然,對於熟習此項技術者而言,可對本文中所述之實施例作出多種修改及更改而不背離本揭示案之範疇及精神。應瞭解,本揭示案不希望受到本文中所述之說明性實施例及實例之不當限制,且該等實例及實施例僅為舉例而提供,希望本揭示案之範圍僅由本文中如下所述之申請專利範圍限定。如本文中所使用,與"包括"或"含有"同義的術語"包含"具有開放包容性且不排除其他未述及之要素或方法步驟。
10‧‧‧氣相沈積腔室
12‧‧‧渦輪泵
14‧‧‧前級泵
16‧‧‧基材
18‧‧‧電阻加熱器
20、21、22‧‧‧容器
30‧‧‧鈦前驅組合物
31‧‧‧鍶前驅組合物
32‧‧‧可選溶劑
40‧‧‧惰性氣體
42‧‧‧惰性載氣
44‧‧‧反應氣體
46‧‧‧可選氣體
50‧‧‧可選歧管
60‧‧‧可選汽化器
72、74‧‧‧管線
80、81、82、83、84、85、86、87、88、89及90‧‧‧閥
100‧‧‧構造
110‧‧‧基材
120‧‧‧氧化鈦層
130‧‧‧氧化鍶/氧化鈦層
140‧‧‧氧化鈦層
200‧‧‧電容器構造
210‧‧‧基材
215‧‧‧導電性擴散區
220‧‧‧第一電容器電極/底電極
240‧‧‧介電層
250‧‧‧第二電容器電極/頂電極
260‧‧‧絕緣層
280‧‧‧接觸孔
290‧‧‧導電性材料
圖1為說明藉由如本揭示案中所述之原子層沈積方法,於基材上沈積含金屬層之實施例的示意性側視圖。
圖2為適用於如本文中所述之方法之氣相沈積系統的透視圖。
圖3為使用如本文中所述之方法所形成的實例電容器構造。
圖4描繪藉由如實例1中所述之方法所製備之鈦酸鍶層之實施例的X射線光電子光譜(XPS)。該光譜為深度(奈米;X軸)與原子濃度(原子百分數;Y軸)之關係圖。
圖5為說明藉由如比較實例1中所述之方法所製備之含金屬層之實施例的X射線繞射資料(XRD)(5A);及藉由如實例1中所述之方法所製備之含金屬層之實施例的X射線繞射資料(XRD)(5B)。圖5A與圖5B均為2θ角(度;X軸)與強度(任意單位或A.U.;Y軸)之關係圖。
圖6為說明藉由如比較實例1(掃描1)中所述之方法所製備之含金屬層之實施例及藉由如實例1(掃描2)中所述之方法所製備之含金屬層之實施例之氧多重態圖(6A)及碳多重態圖(6B)之角度解析X射線光電子光譜。圖6A及圖6B為結合能(電子伏特或E.V.;X軸)與每秒計數(Y軸)之關係圖。
100‧‧‧構造
110‧‧‧基材
120‧‧‧氧化鈦層
130‧‧‧氧化鍶/氧化鈦層
140‧‧‧氧化鈦層

Claims (23)

  1. 一種在基材上形成含金屬層的方法,該方法包含:提供包含至少一種鈦前驅化合物的蒸氣;提供包含至少一種金屬有機鍶前驅化合物的蒸氣;及使該包含該至少一種鈦前驅化合物的蒸氣及該包含該至少一種鍶前驅化合物的蒸氣與基材接觸,以利用包含多個原子層沉積週期的原子層沈積方法在該基材之至少一表面上形成含SrTiO3 層,其中該多個沈積週期依序包含:一初期氧化鈦沈積階段,其包含初期複數個氧化鈦原子層沈積週期;一中期沉積階段,其包含中期複數個氧化鍶原子層沉積週期及中期複數個氧化鈦原子層沉積週期,該中期複數個氧化鈦原子層沉積週期數多於該中期複數個氧化鍶原子層沉積週期數,並生成包含SrTiO3 之中期沉積階段,該SrTiO3 中Sr:Ti之原子比係自0.9:1.0至1.0:0.9;及一後期氧化鈦沈積階段,其包含後期複數個氧化鈦原子層沈積週期。
  2. 如請求項1之方法,其中該中期沉積階段始於及終於一或多個氧化鍶原子層沉積週期。
  3. 如請求項1之方法,其中該中期沉積階段包含多組交替 進行之連續氧化鍶原子層沉積週期與連續氧化鈦原子層沈積週期。
  4. 如請求項3之方法,其中該個別組中之該連續氧化鍶原子層沉積週期數係為2至4個,而該連續氧化鈦原子層沈積週期數係為7至14個。
  5. 如請求項1之方法,其中該中期沉積階段包含連續氧化鍶原子層沉積週期與連續氧化鈦原子層沈積週期交替進行。
  6. 如請求項1之方法,其中該中期沉積階段包含一個氧化鍶原子層沉積週期與連續氧化鈦原子層沈積週期交替進行。
  7. 如請求項1之方法,其中該氧化鍶前驅化合物係選自由β-二酮酸鍶、β-二烯酮亞胺基鍶、脒基鍶、環戊二烯基鍶、二有機醯胺鍶、羧酸鍶、烷醇鍶及其組合所組成之群。
  8. 如請求項1之方法,其中該鍶前驅化合物包含至少一種β-二酮酸鍶前驅化合物,其包含至少一種選自由以下各物組成之群之β-二酮酸鹽配位基:2,2,6,6-四甲基-3,5-庚二酮酸根(thd)、1-(2-甲氧基乙氧基)-2,2,6,6-四甲基-3,5-庚二酮酸根(methd)、六氟乙醯基丙酮酸根、1,1,1-三氟-2,4-戊二酮酸根、2,2-二甲基-6,6,7,7,8,8,8-七氟-3,5-辛二 酮酸根(fod)及其組合。
  9. 如請求項8之方法,其中該β-二酮酸鍶前驅化合物為Sr(thd)2 ,其中thd=2,2,6,6-四甲基-3,5-庚二酮酸根。
  10. 如請求項1之方法,其中該鈦前驅化合物為金屬有機前驅化合物。
  11. 如請求項10之方法,其中該金屬有機鈦前驅化合物係選自由以下各物組成之群:烷醇鈦、醯胺鈦、醯亞胺鈦、β-二酮酸鈦及其組合。
  12. 如請求項11之方法,其中該金屬有機鈦前驅化合物為包含至少一種選自由以下各物組成之群之β-二酮酸根配位基的β-二酮酸鈦:2,2,6,6-四甲基-3,5-庚二酮酸根(thd)、1-(2-甲氧基乙氧基)-2,2,6,6-四甲基-3,5-庚二酮酸根(methd)、六氟乙醯基丙酮酸根、1,1,1-三氟-2,4-戊二酮酸根、2,2-二甲基-6,6,7,7,8,8,8-七氟-3,5-辛二酮酸根(fod)及其組合。
  13. 一種在基材上形成含金屬層的方法,該方法包含:提供包含至少一種鈦前驅化合物的蒸氣;提供包含至少一種金屬有機鍶前驅化合物的蒸氣;及使該包含至少一種鈦前驅化合物的蒸氣及該包含至少一種鍶前驅化合物的蒸氣與該基材接觸,以利用包含多個原子層沈積週期的原子層沈積方法在基材之至少一表 面上形成含SrTiO3 材料,其中該多個原子層沈積週期依序包含:一初期氧化鈦沈積階段,其包含至少一個氧化鈦沈積週期;及一接續之沉積階段,其包含複數個氧化鍶原子層沉積週期及複數個氧化鈦原子層沉積週期,於該接續之沉積階段中,該複數個氧化鈦原子層沉積週期數多於該複數個氧化鍶原子層沉積週期數,並生成包含SrTiO3 之該接續之沉積階段,該SrTiO3 中Sr:Ti之原子比係自0.9:1.0至1.0:0.9,該複數個沉積週期包含多組交替進行之連續氧化鍶原子層沉積週期與連續氧化鈦原子層沉積週期。
  14. 如請求項13之方法,其中該初期氧化鈦沉積階段包含多個氧化鈦沉積週期。
  15. 如請求項13之方法,其中該接續之沉積階段始於亦終於一或多個氧化鍶原子層沉積週期。
  16. 如請求項13之方法,其中該個別組中該連續氧化鍶原子層沉積週期數係為2至4個,而該個別組中該連續氧化鈦原子層沉積週期數係為7至14個。
  17. 如請求項13之方法,其中該含SrTiO3 層材料,以金屬原子計,含至多5原子%之碳酸鍶含量。
  18. 如請求項13之方法,其中該多組之數量至少為4組。
  19. 如請求項18之方法,其中該多組之數量介於4至20組。
  20. 如請求項18之方法,其中該連續氧化鍶原子層沉積週期每組個別為2至4個,且該連續氧化鈦原子層沉積週期每組個別為7至14個。
  21. 如請求項1之方法,其中該個別之中期複數個氧化鈦原子層沉積週期包括除了曝露於包含至少一種鈦前驅化合物的蒸氣之外,尚曝露於一種氧化性反應氣體之中。
  22. 如請求項13之方法,其中該接續之中期複數個氧化鈦原子層沉積週期包括除了曝露於包含至少一種鈦前驅化合物的蒸氣之外,尚曝露於一種氧化性反應氣體之中。
  23. 一種形成電容器的方法,其包含:形成第一電容器電極;在第一電容器電極之上形成一電容器介電質,該電容器介電質的形成包含:提供包含至少一種鈦前驅化合物的蒸氣;提供包含至少一種金屬有機鍶前驅化合物的蒸氣;提供至少一種氧化性反應氣體;及使該包含該至少一種鈦前驅化合物的蒸氣、該包含該至少一種鍶前驅化合物的蒸氣及該至少一種氧化性反應氣體與基材接觸,以利用包含多個原子層沉積週期的原子層沈積方法在該基材之至少一表面上形成含SrTiO3 層 材料,其中該多個沈積週期依序包含:一初期氧化鈦沈積階段,其包含初期複數個氧化鈦原子層沈積週期;一中期沉積階段,其包含中期複數個氧化鍶原子層沉積週期及中期複數個氧化鈦原子層沉積週期,該中期複數個氧化鈦原子層沉積週期數多於該中期複數個氧化鍶原子層沉積週期數,並生成包含SrTiO3 之中期沉積階段,該SrTiO3 中Sr:Ti之原子比係自0.9:1.0至1.0:0.9;及一後期氧化鈦沈積階段,其包含後期複數個氧化鈦原子層沈積週期;及在該電容器介電質上形成第二電容器電極。
TW097104733A 2007-02-14 2008-02-05 於基材上形成含金屬層之氣相沈積方法 TWI410519B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/705,992 US7892964B2 (en) 2007-02-14 2007-02-14 Vapor deposition methods for forming a metal-containing layer on a substrate

Publications (2)

Publication Number Publication Date
TW200844254A TW200844254A (en) 2008-11-16
TWI410519B true TWI410519B (zh) 2013-10-01

Family

ID=39619320

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097104733A TWI410519B (zh) 2007-02-14 2008-02-05 於基材上形成含金屬層之氣相沈積方法

Country Status (6)

Country Link
US (2) US7892964B2 (zh)
JP (1) JP5029927B2 (zh)
KR (1) KR101179774B1 (zh)
CN (1) CN101595244B (zh)
TW (1) TWI410519B (zh)
WO (1) WO2008100691A2 (zh)

Families Citing this family (184)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
KR20160027244A (ko) 2006-03-10 2016-03-09 인티그리스, 인코포레이티드 티타네이트, 란타네이트 및 탄탈레이트 유전막의 원자층 증착 및 화학 증기 증착용 전구체 조성물
US7892964B2 (en) 2007-02-14 2011-02-22 Micron Technology, Inc. Vapor deposition methods for forming a metal-containing layer on a substrate
WO2009086263A1 (en) * 2007-12-28 2009-07-09 Sigma-Aldrich Co. Methods for preparing thin films using substituted pyrrolyl-metal precursors
JP5616591B2 (ja) * 2008-06-20 2014-10-29 株式会社日立国際電気 半導体装置の製造方法及び基板処理装置
US20100072531A1 (en) * 2008-09-22 2010-03-25 Imec Method for Forming a Memory Cell Comprising a Capacitor Having a Strontium Titaniumoxide Based Dielectric Layer and Devices Obtained Thereof
WO2010110803A1 (en) * 2009-03-27 2010-09-30 Hewlett-Packard Development Company, L.P. Switchable junction with intrinsic diode
US8697486B2 (en) 2009-04-15 2014-04-15 Micro Technology, Inc. Methods of forming phase change materials and methods of forming phase change memory circuitry
US8202808B2 (en) * 2009-06-03 2012-06-19 Intermolecular, Inc. Methods of forming strontium titanate films
US20110020547A1 (en) * 2009-07-21 2011-01-27 Julien Gatineau High dielectric constant films deposited at high temperature by atomic layer deposition
US8048755B2 (en) 2010-02-08 2011-11-01 Micron Technology, Inc. Resistive memory and methods of processing resistive memory
US9343298B2 (en) * 2010-09-28 2016-05-17 Imec Metal-insulator-metal capacitor and method for manufacturing thereof
US20140134823A1 (en) * 2011-06-20 2014-05-15 Advanced Technology Materials, Inc. High-k perovskite materials and methods of making and using the same
US20130023129A1 (en) 2011-07-20 2013-01-24 Asm America, Inc. Pressure transmitter for a semiconductor processing environment
US9790238B2 (en) 2012-05-04 2017-10-17 Korea Research Institute Of Chemical Technology Strontium precursor, method for preparing same, and method for forming thin film by using same
US8637365B2 (en) * 2012-06-06 2014-01-28 International Business Machines Corporation Spacer isolation in deep trench
US20160376700A1 (en) 2013-02-01 2016-12-29 Asm Ip Holding B.V. System for treatment of deposition reactor
WO2014124056A1 (en) 2013-02-08 2014-08-14 Advanced Technology Materials, Inc. Ald processes for low leakage current and low equivalent oxide thickness bitao films
RU2554819C1 (ru) * 2014-04-16 2015-06-27 Общество с ограниченной ответственностью "КОНМЕТ" Способ получения биоактивного покрытия на имплантируемом в костную ткань человека титановом имплантате
KR101785594B1 (ko) 2014-06-13 2017-10-17 주식회사 유진테크 머티리얼즈 성막용 전구체 조성물 및 이를 이용한 박막 형성 방법
US10941490B2 (en) 2014-10-07 2021-03-09 Asm Ip Holding B.V. Multiple temperature range susceptor, assembly, reactor and system including the susceptor, and methods of using the same
US10276355B2 (en) 2015-03-12 2019-04-30 Asm Ip Holding B.V. Multi-zone reactor, system including the reactor, and method of using the same
KR102358566B1 (ko) * 2015-08-04 2022-02-04 삼성전자주식회사 물질막 형성 방법
US11139308B2 (en) 2015-12-29 2021-10-05 Asm Ip Holding B.V. Atomic layer deposition of III-V compounds to form V-NAND devices
US10529554B2 (en) 2016-02-19 2020-01-07 Asm Ip Holding B.V. Method for forming silicon nitride film selectively on sidewalls or flat surfaces of trenches
US10204782B2 (en) * 2016-04-18 2019-02-12 Imec Vzw Combined anneal and selective deposition process
US11453943B2 (en) 2016-05-25 2022-09-27 Asm Ip Holding B.V. Method for forming carbon-containing silicon/metal oxide or nitride film by ALD using silicon precursor and hydrocarbon precursor
US10612137B2 (en) 2016-07-08 2020-04-07 Asm Ip Holdings B.V. Organic reactants for atomic layer deposition
US9859151B1 (en) 2016-07-08 2018-01-02 Asm Ip Holding B.V. Selective film deposition method to form air gaps
WO2018017684A1 (en) 2016-07-19 2018-01-25 Applied Materials, Inc. Deposition of flowable silicon-containing films
US9812320B1 (en) 2016-07-28 2017-11-07 Asm Ip Holding B.V. Method and apparatus for filling a gap
US9887082B1 (en) 2016-07-28 2018-02-06 Asm Ip Holding B.V. Method and apparatus for filling a gap
US11532757B2 (en) 2016-10-27 2022-12-20 Asm Ip Holding B.V. Deposition of charge trapping layers
US10714350B2 (en) 2016-11-01 2020-07-14 ASM IP Holdings, B.V. Methods for forming a transition metal niobium nitride film on a substrate by atomic layer deposition and related semiconductor device structures
KR102546317B1 (ko) 2016-11-15 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기체 공급 유닛 및 이를 포함하는 기판 처리 장치
US11581186B2 (en) * 2016-12-15 2023-02-14 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus
US11447861B2 (en) * 2016-12-15 2022-09-20 Asm Ip Holding B.V. Sequential infiltration synthesis apparatus and a method of forming a patterned structure
US11390950B2 (en) 2017-01-10 2022-07-19 Asm Ip Holding B.V. Reactor system and method to reduce residue buildup during a film deposition process
US10468261B2 (en) 2017-02-15 2019-11-05 Asm Ip Holding B.V. Methods for forming a metallic film on a substrate by cyclical deposition and related semiconductor device structures
US10770286B2 (en) 2017-05-08 2020-09-08 Asm Ip Holdings B.V. Methods for selectively forming a silicon nitride film on a substrate and related semiconductor device structures
US11306395B2 (en) 2017-06-28 2022-04-19 Asm Ip Holding B.V. Methods for depositing a transition metal nitride film on a substrate by atomic layer deposition and related deposition apparatus
KR20190009245A (ko) 2017-07-18 2019-01-28 에이에스엠 아이피 홀딩 비.브이. 반도체 소자 구조물 형성 방법 및 관련된 반도체 소자 구조물
US10590535B2 (en) 2017-07-26 2020-03-17 Asm Ip Holdings B.V. Chemical treatment, deposition and/or infiltration apparatus and method for using the same
US10692741B2 (en) 2017-08-08 2020-06-23 Asm Ip Holdings B.V. Radiation shield
US10770336B2 (en) 2017-08-08 2020-09-08 Asm Ip Holding B.V. Substrate lift mechanism and reactor including same
US11769682B2 (en) 2017-08-09 2023-09-26 Asm Ip Holding B.V. Storage apparatus for storing cassettes for substrates and processing apparatus equipped therewith
US11830730B2 (en) 2017-08-29 2023-11-28 Asm Ip Holding B.V. Layer forming method and apparatus
US11295980B2 (en) 2017-08-30 2022-04-05 Asm Ip Holding B.V. Methods for depositing a molybdenum metal film over a dielectric surface of a substrate by a cyclical deposition process and related semiconductor device structures
US10658205B2 (en) 2017-09-28 2020-05-19 Asm Ip Holdings B.V. Chemical dispensing apparatus and methods for dispensing a chemical to a reaction chamber
KR102103346B1 (ko) * 2017-11-15 2020-04-22 에스케이트리켐 주식회사 박막 증착용 전구체 용액 및 이를 이용한 박막 형성 방법.
US11639811B2 (en) 2017-11-27 2023-05-02 Asm Ip Holding B.V. Apparatus including a clean mini environment
KR102597978B1 (ko) 2017-11-27 2023-11-06 에이에스엠 아이피 홀딩 비.브이. 배치 퍼니스와 함께 사용하기 위한 웨이퍼 카세트를 보관하기 위한 보관 장치
US10872771B2 (en) 2018-01-16 2020-12-22 Asm Ip Holding B. V. Method for depositing a material film on a substrate within a reaction chamber by a cyclical deposition process and related device structures
TW202325889A (zh) 2018-01-19 2023-07-01 荷蘭商Asm 智慧財產控股公司 沈積方法
KR20200108016A (ko) 2018-01-19 2020-09-16 에이에스엠 아이피 홀딩 비.브이. 플라즈마 보조 증착에 의해 갭 충진 층을 증착하는 방법
US11081345B2 (en) 2018-02-06 2021-08-03 Asm Ip Holding B.V. Method of post-deposition treatment for silicon oxide film
US11685991B2 (en) 2018-02-14 2023-06-27 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
US10896820B2 (en) 2018-02-14 2021-01-19 Asm Ip Holding B.V. Method for depositing a ruthenium-containing film on a substrate by a cyclical deposition process
KR102636427B1 (ko) 2018-02-20 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 장치
US10975470B2 (en) 2018-02-23 2021-04-13 Asm Ip Holding B.V. Apparatus for detecting or monitoring for a chemical precursor in a high temperature environment
US11473195B2 (en) 2018-03-01 2022-10-18 Asm Ip Holding B.V. Semiconductor processing apparatus and a method for processing a substrate
KR102646467B1 (ko) 2018-03-27 2024-03-11 에이에스엠 아이피 홀딩 비.브이. 기판 상에 전극을 형성하는 방법 및 전극을 포함하는 반도체 소자 구조
KR102596988B1 (ko) 2018-05-28 2023-10-31 에이에스엠 아이피 홀딩 비.브이. 기판 처리 방법 및 그에 의해 제조된 장치
US11718913B2 (en) 2018-06-04 2023-08-08 Asm Ip Holding B.V. Gas distribution system and reactor system including same
US10797133B2 (en) 2018-06-21 2020-10-06 Asm Ip Holding B.V. Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures
KR102568797B1 (ko) 2018-06-21 2023-08-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 시스템
WO2020003000A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
WO2020002995A1 (en) 2018-06-27 2020-01-02 Asm Ip Holding B.V. Cyclic deposition methods for forming metal-containing material and films and structures including the metal-containing material
US10755922B2 (en) 2018-07-03 2020-08-25 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US10388513B1 (en) 2018-07-03 2019-08-20 Asm Ip Holding B.V. Method for depositing silicon-free carbon-containing film as gap-fill layer by pulse plasma-assisted deposition
US11430674B2 (en) 2018-08-22 2022-08-30 Asm Ip Holding B.V. Sensor array, apparatus for dispensing a vapor phase reactant to a reaction chamber and related methods
US11024523B2 (en) 2018-09-11 2021-06-01 Asm Ip Holding B.V. Substrate processing apparatus and method
KR20200030162A (ko) 2018-09-11 2020-03-20 에이에스엠 아이피 홀딩 비.브이. 박막 증착 방법
CN110970344A (zh) 2018-10-01 2020-04-07 Asm Ip控股有限公司 衬底保持设备、包含所述设备的系统及其使用方法
KR102592699B1 (ko) 2018-10-08 2023-10-23 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 박막 증착 장치와 기판 처리 장치
EP3656889B1 (en) * 2018-10-08 2022-06-01 Shenzhen Goodix Technology Co., Ltd. Method for preparing copper calcium titanate thin film
KR102546322B1 (ko) 2018-10-19 2023-06-21 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치 및 기판 처리 방법
US11087997B2 (en) 2018-10-31 2021-08-10 Asm Ip Holding B.V. Substrate processing apparatus for processing substrates
KR20200051105A (ko) 2018-11-02 2020-05-13 에이에스엠 아이피 홀딩 비.브이. 기판 지지 유닛 및 이를 포함하는 기판 처리 장치
US11572620B2 (en) 2018-11-06 2023-02-07 Asm Ip Holding B.V. Methods for selectively depositing an amorphous silicon film on a substrate
US10818758B2 (en) 2018-11-16 2020-10-27 Asm Ip Holding B.V. Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures
KR102636428B1 (ko) 2018-12-04 2024-02-13 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치를 세정하는 방법
US11158513B2 (en) 2018-12-13 2021-10-26 Asm Ip Holding B.V. Methods for forming a rhenium-containing film on a substrate by a cyclical deposition process and related semiconductor device structures
JP2020096183A (ja) 2018-12-14 2020-06-18 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化ガリウムの選択的堆積を用いてデバイス構造体を形成する方法及びそのためのシステム
TWI819180B (zh) 2019-01-17 2023-10-21 荷蘭商Asm 智慧財產控股公司 藉由循環沈積製程於基板上形成含過渡金屬膜之方法
TW202044325A (zh) 2019-02-20 2020-12-01 荷蘭商Asm Ip私人控股有限公司 填充一基板之一表面內所形成的一凹槽的方法、根據其所形成之半導體結構、及半導體處理設備
JP2020136677A (ja) 2019-02-20 2020-08-31 エーエスエム・アイピー・ホールディング・ベー・フェー 基材表面内に形成された凹部を充填するための周期的堆積方法および装置
KR20200102357A (ko) 2019-02-20 2020-08-31 에이에스엠 아이피 홀딩 비.브이. 3-d nand 응용의 플러그 충진체 증착용 장치 및 방법
TW202100794A (zh) 2019-02-22 2021-01-01 荷蘭商Asm Ip私人控股有限公司 基材處理設備及處理基材之方法
KR20200108248A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. SiOCN 층을 포함한 구조체 및 이의 형성 방법
KR20200108242A (ko) 2019-03-08 2020-09-17 에이에스엠 아이피 홀딩 비.브이. 실리콘 질화물 층을 선택적으로 증착하는 방법, 및 선택적으로 증착된 실리콘 질화물 층을 포함하는 구조체
KR20200116033A (ko) 2019-03-28 2020-10-08 에이에스엠 아이피 홀딩 비.브이. 도어 개방기 및 이를 구비한 기판 처리 장치
KR20200116855A (ko) 2019-04-01 2020-10-13 에이에스엠 아이피 홀딩 비.브이. 반도체 소자를 제조하는 방법
KR20200123380A (ko) 2019-04-19 2020-10-29 에이에스엠 아이피 홀딩 비.브이. 층 형성 방법 및 장치
KR20200125453A (ko) 2019-04-24 2020-11-04 에이에스엠 아이피 홀딩 비.브이. 기상 반응기 시스템 및 이를 사용하는 방법
WO2020223338A1 (en) * 2019-04-29 2020-11-05 Drexel University Low temperature route for epitaxial integration of perovskites on silicon
KR20200130121A (ko) 2019-05-07 2020-11-18 에이에스엠 아이피 홀딩 비.브이. 딥 튜브가 있는 화학물질 공급원 용기
KR20200130652A (ko) 2019-05-10 2020-11-19 에이에스엠 아이피 홀딩 비.브이. 표면 상에 재료를 증착하는 방법 및 본 방법에 따라 형성된 구조
JP2020188255A (ja) 2019-05-16 2020-11-19 エーエスエム アイピー ホールディング ビー.ブイ. ウェハボートハンドリング装置、縦型バッチ炉および方法
USD975665S1 (en) 2019-05-17 2023-01-17 Asm Ip Holding B.V. Susceptor shaft
USD947913S1 (en) 2019-05-17 2022-04-05 Asm Ip Holding B.V. Susceptor shaft
KR20200141002A (ko) 2019-06-06 2020-12-17 에이에스엠 아이피 홀딩 비.브이. 배기 가스 분석을 포함한 기상 반응기 시스템을 사용하는 방법
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조
KR20210005515A (ko) 2019-07-03 2021-01-14 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치용 온도 제어 조립체 및 이를 사용하는 방법
JP2021015791A (ja) 2019-07-09 2021-02-12 エーエスエム アイピー ホールディング ビー.ブイ. 同軸導波管を用いたプラズマ装置、基板処理方法
CN112216646A (zh) 2019-07-10 2021-01-12 Asm Ip私人控股有限公司 基板支撑组件及包括其的基板处理装置
KR20210010307A (ko) 2019-07-16 2021-01-27 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
KR20210010816A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 라디칼 보조 점화 플라즈마 시스템 및 방법
KR20210010820A (ko) 2019-07-17 2021-01-28 에이에스엠 아이피 홀딩 비.브이. 실리콘 게르마늄 구조를 형성하는 방법
US11643724B2 (en) 2019-07-18 2023-05-09 Asm Ip Holding B.V. Method of forming structures using a neutral beam
CN112309843A (zh) 2019-07-29 2021-02-02 Asm Ip私人控股有限公司 实现高掺杂剂掺入的选择性沉积方法
CN112309900A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
CN112309899A (zh) 2019-07-30 2021-02-02 Asm Ip私人控股有限公司 基板处理设备
US11587815B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11587814B2 (en) 2019-07-31 2023-02-21 Asm Ip Holding B.V. Vertical batch furnace assembly
US11227782B2 (en) 2019-07-31 2022-01-18 Asm Ip Holding B.V. Vertical batch furnace assembly
KR20210018759A (ko) 2019-08-05 2021-02-18 에이에스엠 아이피 홀딩 비.브이. 화학물질 공급원 용기를 위한 액체 레벨 센서
USD965044S1 (en) 2019-08-19 2022-09-27 Asm Ip Holding B.V. Susceptor shaft
USD965524S1 (en) 2019-08-19 2022-10-04 Asm Ip Holding B.V. Susceptor support
JP2021031769A (ja) 2019-08-21 2021-03-01 エーエスエム アイピー ホールディング ビー.ブイ. 成膜原料混合ガス生成装置及び成膜装置
KR20210024423A (ko) 2019-08-22 2021-03-05 에이에스엠 아이피 홀딩 비.브이. 홀을 구비한 구조체를 형성하기 위한 방법
USD979506S1 (en) 2019-08-22 2023-02-28 Asm Ip Holding B.V. Insulator
US11286558B2 (en) 2019-08-23 2022-03-29 Asm Ip Holding B.V. Methods for depositing a molybdenum nitride film on a surface of a substrate by a cyclical deposition process and related semiconductor device structures including a molybdenum nitride film
KR20210029090A (ko) 2019-09-04 2021-03-15 에이에스엠 아이피 홀딩 비.브이. 희생 캡핑 층을 이용한 선택적 증착 방법
KR20210029663A (ko) 2019-09-05 2021-03-16 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
US11562901B2 (en) 2019-09-25 2023-01-24 Asm Ip Holding B.V. Substrate processing method
CN112593212B (zh) 2019-10-02 2023-12-22 Asm Ip私人控股有限公司 通过循环等离子体增强沉积工艺形成拓扑选择性氧化硅膜的方法
TW202129060A (zh) 2019-10-08 2021-08-01 荷蘭商Asm Ip控股公司 基板處理裝置、及基板處理方法
KR20210043460A (ko) 2019-10-10 2021-04-21 에이에스엠 아이피 홀딩 비.브이. 포토레지스트 하부층을 형성하기 위한 방법 및 이를 포함한 구조체
KR20210045930A (ko) 2019-10-16 2021-04-27 에이에스엠 아이피 홀딩 비.브이. 실리콘 산화물의 토폴로지-선택적 막의 형성 방법
US11637014B2 (en) 2019-10-17 2023-04-25 Asm Ip Holding B.V. Methods for selective deposition of doped semiconductor material
KR20210047808A (ko) 2019-10-21 2021-04-30 에이에스엠 아이피 홀딩 비.브이. 막을 선택적으로 에칭하기 위한 장치 및 방법
US11646205B2 (en) 2019-10-29 2023-05-09 Asm Ip Holding B.V. Methods of selectively forming n-type doped material on a surface, systems for selectively forming n-type doped material, and structures formed using same
KR20210054983A (ko) 2019-11-05 2021-05-14 에이에스엠 아이피 홀딩 비.브이. 도핑된 반도체 층을 갖는 구조체 및 이를 형성하기 위한 방법 및 시스템
US11501968B2 (en) 2019-11-15 2022-11-15 Asm Ip Holding B.V. Method for providing a semiconductor device with silicon filled gaps
KR20210062561A (ko) 2019-11-20 2021-05-31 에이에스엠 아이피 홀딩 비.브이. 기판의 표면 상에 탄소 함유 물질을 증착하는 방법, 상기 방법을 사용하여 형성된 구조물, 및 상기 구조물을 형성하기 위한 시스템
CN112951697A (zh) 2019-11-26 2021-06-11 Asm Ip私人控股有限公司 基板处理设备
KR20210065848A (ko) 2019-11-26 2021-06-04 에이에스엠 아이피 홀딩 비.브이. 제1 유전체 표면과 제2 금속성 표면을 포함한 기판 상에 타겟 막을 선택적으로 형성하기 위한 방법
CN112885692A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
CN112885693A (zh) 2019-11-29 2021-06-01 Asm Ip私人控股有限公司 基板处理设备
JP2021090042A (ja) 2019-12-02 2021-06-10 エーエスエム アイピー ホールディング ビー.ブイ. 基板処理装置、基板処理方法
KR20210070898A (ko) 2019-12-04 2021-06-15 에이에스엠 아이피 홀딩 비.브이. 기판 처리 장치
JP2021097227A (ja) 2019-12-17 2021-06-24 エーエスエム・アイピー・ホールディング・ベー・フェー 窒化バナジウム層および窒化バナジウム層を含む構造体を形成する方法
US11527403B2 (en) 2019-12-19 2022-12-13 Asm Ip Holding B.V. Methods for filling a gap feature on a substrate surface and related semiconductor structures
KR20210089077A (ko) 2020-01-06 2021-07-15 에이에스엠 아이피 홀딩 비.브이. 가스 공급 어셈블리, 이의 구성 요소, 및 이를 포함하는 반응기 시스템
KR20210095050A (ko) 2020-01-20 2021-07-30 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법 및 박막 표면 개질 방법
TW202130846A (zh) 2020-02-03 2021-08-16 荷蘭商Asm Ip私人控股有限公司 形成包括釩或銦層的結構之方法
KR20210100010A (ko) 2020-02-04 2021-08-13 에이에스엠 아이피 홀딩 비.브이. 대형 물품의 투과율 측정을 위한 방법 및 장치
US11776846B2 (en) 2020-02-07 2023-10-03 Asm Ip Holding B.V. Methods for depositing gap filling fluids and related systems and devices
US11781243B2 (en) 2020-02-17 2023-10-10 Asm Ip Holding B.V. Method for depositing low temperature phosphorous-doped silicon
KR20210116240A (ko) 2020-03-11 2021-09-27 에이에스엠 아이피 홀딩 비.브이. 조절성 접합부를 갖는 기판 핸들링 장치
US11876356B2 (en) 2020-03-11 2024-01-16 Asm Ip Holding B.V. Lockout tagout assembly and system and method of using same
KR20210117157A (ko) 2020-03-12 2021-09-28 에이에스엠 아이피 홀딩 비.브이. 타겟 토폴로지 프로파일을 갖는 층 구조를 제조하기 위한 방법
KR20210124042A (ko) 2020-04-02 2021-10-14 에이에스엠 아이피 홀딩 비.브이. 박막 형성 방법
TW202146689A (zh) 2020-04-03 2021-12-16 荷蘭商Asm Ip控股公司 阻障層形成方法及半導體裝置的製造方法
TW202145344A (zh) 2020-04-08 2021-12-01 荷蘭商Asm Ip私人控股有限公司 用於選擇性蝕刻氧化矽膜之設備及方法
US11821078B2 (en) 2020-04-15 2023-11-21 Asm Ip Holding B.V. Method for forming precoat film and method for forming silicon-containing film
KR20210132600A (ko) 2020-04-24 2021-11-04 에이에스엠 아이피 홀딩 비.브이. 바나듐, 질소 및 추가 원소를 포함한 층을 증착하기 위한 방법 및 시스템
CN113555279A (zh) 2020-04-24 2021-10-26 Asm Ip私人控股有限公司 形成含氮化钒的层的方法及包含其的结构
TW202146831A (zh) 2020-04-24 2021-12-16 荷蘭商Asm Ip私人控股有限公司 垂直批式熔爐總成、及用於冷卻垂直批式熔爐之方法
KR20210134226A (ko) 2020-04-29 2021-11-09 에이에스엠 아이피 홀딩 비.브이. 고체 소스 전구체 용기
KR20210134869A (ko) 2020-05-01 2021-11-11 에이에스엠 아이피 홀딩 비.브이. Foup 핸들러를 이용한 foup의 빠른 교환
KR20210141379A (ko) 2020-05-13 2021-11-23 에이에스엠 아이피 홀딩 비.브이. 반응기 시스템용 레이저 정렬 고정구
TW202147383A (zh) 2020-05-19 2021-12-16 荷蘭商Asm Ip私人控股有限公司 基材處理設備
KR20210145078A (ko) 2020-05-21 2021-12-01 에이에스엠 아이피 홀딩 비.브이. 다수의 탄소 층을 포함한 구조체 및 이를 형성하고 사용하는 방법
TW202201602A (zh) 2020-05-29 2022-01-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202218133A (zh) 2020-06-24 2022-05-01 荷蘭商Asm Ip私人控股有限公司 形成含矽層之方法
TW202217953A (zh) 2020-06-30 2022-05-01 荷蘭商Asm Ip私人控股有限公司 基板處理方法
TW202219628A (zh) 2020-07-17 2022-05-16 荷蘭商Asm Ip私人控股有限公司 用於光微影之結構與方法
TW202204662A (zh) 2020-07-20 2022-02-01 荷蘭商Asm Ip私人控股有限公司 用於沉積鉬層之方法及系統
KR20220027026A (ko) 2020-08-26 2022-03-07 에이에스엠 아이피 홀딩 비.브이. 금속 실리콘 산화물 및 금속 실리콘 산질화물 층을 형성하기 위한 방법 및 시스템
USD990534S1 (en) 2020-09-11 2023-06-27 Asm Ip Holding B.V. Weighted lift pin
USD1012873S1 (en) 2020-09-24 2024-01-30 Asm Ip Holding B.V. Electrode for semiconductor processing apparatus
TW202229613A (zh) 2020-10-14 2022-08-01 荷蘭商Asm Ip私人控股有限公司 於階梯式結構上沉積材料的方法
KR20220053482A (ko) 2020-10-22 2022-04-29 에이에스엠 아이피 홀딩 비.브이. 바나듐 금속을 증착하는 방법, 구조체, 소자 및 증착 어셈블리
TW202223136A (zh) 2020-10-28 2022-06-16 荷蘭商Asm Ip私人控股有限公司 用於在基板上形成層之方法、及半導體處理系統
TW202235675A (zh) 2020-11-30 2022-09-16 荷蘭商Asm Ip私人控股有限公司 注入器、及基板處理設備
CN114639631A (zh) 2020-12-16 2022-06-17 Asm Ip私人控股有限公司 跳动和摆动测量固定装置
TW202231903A (zh) 2020-12-22 2022-08-16 荷蘭商Asm Ip私人控股有限公司 過渡金屬沉積方法、過渡金屬層、用於沉積過渡金屬於基板上的沉積總成
FR3119104B1 (fr) * 2021-01-28 2024-02-02 Commissariat Energie Atomique Procédé de formation d’un réseau métallo-organique
USD1023959S1 (en) 2021-05-11 2024-04-23 Asm Ip Holding B.V. Electrode for substrate processing apparatus
USD980813S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas flow control plate for substrate processing apparatus
USD981973S1 (en) 2021-05-11 2023-03-28 Asm Ip Holding B.V. Reactor wall for substrate processing apparatus
USD980814S1 (en) 2021-05-11 2023-03-14 Asm Ip Holding B.V. Gas distributor for substrate processing apparatus
USD990441S1 (en) 2021-09-07 2023-06-27 Asm Ip Holding B.V. Gas flow control plate

Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000015865A1 (en) * 1998-09-11 2000-03-23 Asm Microchemistry Oy Method for growing oxide thin films containing barium and strontium
US20060219157A1 (en) * 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium

Family Cites Families (24)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5256244A (en) 1992-02-10 1993-10-26 General Electric Company Production of diffuse reflective coatings by atomic layer epitaxy
JPH0677402A (ja) 1992-07-02 1994-03-18 Natl Semiconductor Corp <Ns> 半導体デバイス用誘電体構造及びその製造方法
KR0139876B1 (ko) 1993-09-14 1998-08-17 사토 후미오 금속산화막의 형성방법
US5609927A (en) 1995-06-06 1997-03-11 Texas Instruments Incorporated Processing methods for high-dielectric-constant materials
US5830270A (en) 1996-08-05 1998-11-03 Lockheed Martin Energy Systems, Inc. CaTiO3 Interfacial template structure on semiconductor-based material and the growth of electroceramic thin-films in the perovskite class
EP1138065A1 (de) 1998-11-06 2001-10-04 Infineon Technologies AG Verfahren zum herstellen einer strukturierten metalloxidhaltigen schicht
US6444478B1 (en) 1999-08-31 2002-09-03 Micron Technology, Inc. Dielectric films and methods of forming same
US6417537B1 (en) 2000-01-18 2002-07-09 Micron Technology, Inc. Metal oxynitride capacitor barrier layer
WO2001099166A1 (en) * 2000-06-08 2001-12-27 Genitech Inc. Thin film forming method
JP4257485B2 (ja) 2000-06-21 2009-04-22 セイコーエプソン株式会社 セラミックス膜およびその製造方法ならびに半導体装置および圧電素子
US6566147B2 (en) 2001-02-02 2003-05-20 Micron Technology, Inc. Method for controlling deposition of dielectric films
US7008669B2 (en) 2001-06-13 2006-03-07 Seiko Epson Corporation Ceramic and method of manufacturing the same, dielectric capacitor, semiconductor device, and element
KR100418569B1 (ko) 2001-12-10 2004-02-14 주식회사 하이닉스반도체 단원자층증착을 이용한 고유전체 박막 형성방법
KR100455287B1 (ko) * 2002-02-28 2004-11-06 삼성전자주식회사 반도체 장치의 커패시터, 그 제조방법 및 상기 커패시터를채용하고 있는 전자 소자
US6916717B2 (en) * 2002-05-03 2005-07-12 Motorola, Inc. Method for growing a monocrystalline oxide layer and for fabricating a semiconductor device on a monocrystalline substrate
KR100468852B1 (ko) 2002-07-20 2005-01-29 삼성전자주식회사 캐패시터 구조체 형성 방법
US6730164B2 (en) 2002-08-28 2004-05-04 Micron Technology, Inc. Systems and methods for forming strontium- and/or barium-containing layers
US6812110B1 (en) 2003-05-09 2004-11-02 Micron Technology, Inc. Methods of forming capacitor constructions, and methods of forming constructions comprising dielectric materials
US6785120B1 (en) 2003-07-03 2004-08-31 Micron Technology, Inc. Methods of forming hafnium-containing materials, methods of forming hafnium oxide, and capacitor constructions comprising hafnium oxide
US7416994B2 (en) * 2005-06-28 2008-08-26 Micron Technology, Inc. Atomic layer deposition systems and methods including metal beta-diketiminate compounds
KR20160027244A (ko) 2006-03-10 2016-03-09 인티그리스, 인코포레이티드 티타네이트, 란타네이트 및 탄탈레이트 유전막의 원자층 증착 및 화학 증기 증착용 전구체 조성물
JP4524698B2 (ja) * 2006-10-26 2010-08-18 エルピーダメモリ株式会社 容量素子を有する半導体装置及びその製造方法
US9391255B2 (en) 2007-01-30 2016-07-12 Massachusetts Institute Of Technology Multistage thick film thermoelectric devices
US7892964B2 (en) 2007-02-14 2011-02-22 Micron Technology, Inc. Vapor deposition methods for forming a metal-containing layer on a substrate

Patent Citations (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
WO2000015865A1 (en) * 1998-09-11 2000-03-23 Asm Microchemistry Oy Method for growing oxide thin films containing barium and strontium
US20060219157A1 (en) * 2001-06-28 2006-10-05 Antti Rahtu Oxide films containing titanium

Also Published As

Publication number Publication date
TW200844254A (en) 2008-11-16
WO2008100691A3 (en) 2009-02-12
JP2010518645A (ja) 2010-05-27
US20110021001A1 (en) 2011-01-27
KR20090115145A (ko) 2009-11-04
WO2008100691A2 (en) 2008-08-21
US7892964B2 (en) 2011-02-22
CN101595244A (zh) 2009-12-02
CN101595244B (zh) 2012-12-26
US20080194088A1 (en) 2008-08-14
US8557697B2 (en) 2013-10-15
KR101179774B1 (ko) 2012-09-04
JP5029927B2 (ja) 2012-09-19

Similar Documents

Publication Publication Date Title
TWI410519B (zh) 於基材上形成含金屬層之氣相沈積方法
KR101234970B1 (ko) 니오브 질화물 상에 탄탈 산화물층을 포함하는 구조물 및 장치와, 그 제조 방법
US8617312B2 (en) Systems and methods for forming layers that contain niobium and/or tantalum
TWI398543B (zh) 使用β-二酮亞胺金屬化合物之原子層沉積系統及方法
US6730164B2 (en) Systems and methods for forming strontium- and/or barium-containing layers
US7837797B2 (en) Systems and methods for forming niobium and/or vanadium containing layers using atomic layer deposition
US20100171089A1 (en) Dielectric layers and memory cells including metal-doped alumina
US8673390B2 (en) Methods of making crystalline tantalum pentoxide