TWI407563B - 具有逆碳分佈之低缺陷si:c層 - Google Patents

具有逆碳分佈之低缺陷si:c層 Download PDF

Info

Publication number
TWI407563B
TWI407563B TW096145556A TW96145556A TWI407563B TW I407563 B TWI407563 B TW I407563B TW 096145556 A TW096145556 A TW 096145556A TW 96145556 A TW96145556 A TW 96145556A TW I407563 B TWI407563 B TW I407563B
Authority
TW
Taiwan
Prior art keywords
carbon
layer
volume
concentration
single crystal
Prior art date
Application number
TW096145556A
Other languages
English (en)
Other versions
TW200840040A (en
Inventor
Yaocheng Liu
Subramanian S Iyer
Jinghong Li
Original Assignee
Ibm
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by Ibm filed Critical Ibm
Publication of TW200840040A publication Critical patent/TW200840040A/zh
Application granted granted Critical
Publication of TWI407563B publication Critical patent/TWI407563B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic Table including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/02447Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02488Insulating materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02494Structure
    • H01L21/02496Layer structure
    • H01L21/0251Graded layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02529Silicon carbide
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02667Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth
    • H01L21/02675Crystallisation or recrystallisation of non-monocrystalline semiconductor materials, e.g. regrowth using laser beams
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02656Special treatments
    • H01L21/02664Aftertreatments
    • H01L21/02694Controlling the interface between substrate and epitaxial layer, e.g. by ion implantation followed by annealing
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/06Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions
    • H01L29/10Semiconductor bodies ; Multistep manufacturing processes therefor characterised by their shape; characterised by the shapes, relative sizes, or dispositions of the semiconductor regions ; characterised by the concentration or distribution of impurities within semiconductor regions with semiconductor regions connected to an electrode not carrying current to be rectified, amplified or switched and such electrode being part of a semiconductor device which comprises three or more electrodes
    • H01L29/1025Channel region of field-effect devices
    • H01L29/1029Channel region of field-effect devices of field-effect transistors
    • H01L29/1033Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure
    • H01L29/1054Channel region of field-effect devices of field-effect transistors with insulated gate, e.g. characterised by the length, the width, the geometric contour or the doping structure with a variation of the composition, e.g. channel with strained layer for increasing the mobility
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/30Semiconductor bodies ; Multistep manufacturing processes therefor characterised by physical imperfections; having polished or roughened surface
    • H01L29/32Semiconductor bodies ; Multistep manufacturing processes therefor characterised by physical imperfections; having polished or roughened surface the imperfections being within the semiconductor body
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7848Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being located in the source/drain region, e.g. SiGe source and drain
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78606Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device
    • H01L29/78618Thin film transistors, i.e. transistors with a channel being at least partly a thin film with supplementary region or layer in the thin film or in the insulated bulk substrate supporting it for controlling or increasing the safety of the device characterised by the drain or the source properties, e.g. the doping structure, the composition, the sectional shape or the contact structure
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78651Silicon transistors
    • H01L29/78654Monocrystalline silicon transistors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices specially adapted for rectifying, amplifying, oscillating or switching and having potential barriers; Capacitors or resistors having potential barriers, e.g. a PN-junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/786Thin film transistors, i.e. transistors with a channel being at least partly a thin film
    • H01L29/78696Thin film transistors, i.e. transistors with a channel being at least partly a thin film characterised by the structure of the channel, e.g. multichannel, transverse or longitudinal shape, length or width, doping structure, or the overlap or alignment between the channel and the gate, the source or the drain, or the contacting structure of the channel
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02436Intermediate layers between substrates and deposited layers
    • H01L21/02439Materials
    • H01L21/02441Group 14 semiconducting materials
    • H01L21/0245Silicon, silicon germanium, germanium
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/02104Forming layers
    • H01L21/02365Forming inorganic semiconducting materials on a substrate
    • H01L21/02518Deposited layers
    • H01L21/02521Materials
    • H01L21/02524Group 14 semiconducting materials
    • H01L21/02532Silicon, silicon germanium, germanium

Landscapes

  • Engineering & Computer Science (AREA)
  • Power Engineering (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Physics & Mathematics (AREA)
  • Computer Hardware Design (AREA)
  • General Physics & Mathematics (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Manufacturing & Machinery (AREA)
  • Ceramic Engineering (AREA)
  • Crystallography & Structural Chemistry (AREA)
  • Chemical & Material Sciences (AREA)
  • High Energy & Nuclear Physics (AREA)
  • Toxicology (AREA)
  • Health & Medical Sciences (AREA)
  • Optics & Photonics (AREA)
  • Recrystallisation Techniques (AREA)
  • Thin Film Transistor (AREA)
  • Insulated Gate Type Field-Effect Transistor (AREA)
  • Metal-Oxide And Bipolar Metal-Oxide Semiconductor Integrated Circuits (AREA)

Description

具有逆碳分佈之低缺陷SI:C層
本發明係關於半導體結構與製造方法,更特別地,係關於替代碳單晶矽層(Si:C),以及使用此替代碳單晶矽層(Si:C)的金氧半導體場效電晶體(MOSFET)。
在半導體工業中已經研究出各種經由操控載子遷移率來提高半導體裝置效能的技術。在這類技術中的其中一個關鍵元素係在電晶體裝置通道中施加應力。某些這種方法應用於矽基板內之替代碳單晶矽(Si:C)層,以改變通道中之矽材料的晶格常數。在矽與碳兩者均具有相同電性外殼與相同晶格結構的同時,亦即是「鑽石結構」,它們於室溫的晶格常數則分別為不同值,0.5431nm與0.357nm。藉由以碳原子來替代單晶矽中的一些矽原子,可得到晶格常數比純矽更小的單晶結構。
為了增加在相鄰半導體結構上應力的數量,有必要增加碳含量。換句話說,在Si:C層中的碳含量越高,相鄰結構上的應力則越高。由於在矽熔點時碳的低平衡溶解度(原子濃度3.5×1017 /cm3 或者7ppm),所以非常難以在矽基板製造期間內將碳併入矽基板內。實際上,在矽晶錠(silicon ingot)生長期間內,碳無法被併入矽基板內。不 過,在將碳植入塊矽晶圓之矽層中之固相磊晶法製程期間,可看到達到7.0×1020 /cm3 (原子濃度1.4%)的較高亞穩態溶解度極限,其係根據Strane等人所提出的「藉由離子植入與固相磊晶將碳併入高濃度的矽內(Carbon incorporation into Si at high concentrations by ion implantation and solid phase epitaxy)」,J.Appl.Phys.79(2),1996年1月,第637-646頁。在Strane等人所描述的實驗中,矽基板首先無定形地植入矽,隨後植入碳原子。藉由進行固相磊晶,替代碳單晶矽層會從植碳的矽層再生長。在固相磊晶以後,Strane等人亦建立碳原子會佔據代理位置以形成Si:C層。不過,由於矽的無定形植入,所以亦可看到對應範圍末端的缺陷的缺陷帶存在。再者,在1.9%的高碳濃度上,高階晶相缺陷則可在Si:C層上被看到。
然後,由於提高互補式金氧半導體(CMOS)電晶體性能的潛在優點,儘管難以得到低缺陷密度的Si:C層,但是藉由固相磊晶來形成Si:C層則已經進一步被研究。由於高階應力產生的有利特性,具有0.1%至5%之間,較佳是0.5%至2.0%之間之高濃度Si:C層會繼續的形成。已經發現Si:C層之碳濃度越高,將導致的Si:C層之缺陷密度越高。不過,因為缺陷對電晶體關閉電流之漏電路徑有用,所以高階晶缺陷密度會對互補式金氧半導體(CMOS)電晶體性能不利。在應變單晶層中的缺陷亦會使應力解除,並不利 地影響來自應力工程的遷移率增益。
藉由使用鬆散的Si:C層為基板,並使磊晶矽生長於其頂部,可將互補式金氧半導體(CMOS)電晶體建立在替代碳單晶矽層上。一種得到晶格常數比矽更小之Si:C層的方法,係為生長厚度超過產生晶體缺陷之臨界厚度的厚Si:C層。一種替代方法則是在絕緣層上矽(SOI)基板上生長Si:C層,以致於在埋式氧化層頂部的整個Si:C層具有比矽更小的晶格常數。可磊晶生長矽在鬆散的Si:C層上,使得矽材料的晶格常數會與底層鬆散的Si:C的晶格常數匹配。在此情形中,磊晶生長的矽在磊晶生長面中會受到雙軸壓縮應力。建立在此一基板中的N型場效電晶體(NFET)具有提高的電子遷移率與必然增加的開啟電流。
或者,藉由將Si:C層嵌入於建立在矽基板上之場效電晶體的源極與汲極區域中,可建立互補式金氧半導體(CMOS)電晶體。在源極與汲極中嵌入Si:C層的狀態會沿著載子移動方向而在通道區域中產生單軸拉張應力。此應力會提高電晶體中的電子遷移率。結果,建立在此基板中的N通道場效電晶體(NFET)具有提高的電子遷移率與必然增加的開啟電流。
因此,需要存在一種半導體結構與製造低缺陷、高碳濃度Si:C層之方法。
另一方面,需要存在一種半導體結構與局部併入低缺陷、高碳濃度磊晶的Si:C層於場效電晶體內之方法。
為了解決上述需求,藉由矽基板表面附近的逆碳濃度分佈,本發明提供一種磊晶Si:C層,其具有原子濃度從約0.2%至約5.0%的高塊碳濃度(bulk carbon concentration),以及小於約1.0×109 /cm2 的低缺陷密度。同樣地,本發明提供一種具有高塊碳濃度與低缺陷密度的嵌入磊晶Si:C層於互補式金氧半導體(CMOS)電晶體的源極與汲極區域內。當此磊晶Si:C層被嵌入於NFET之源極與汲極內時,將造成NFET通道中的單軸拉張應力提高電子遷移率,以促成遷移率提高的NFET。
於先前技術中,在碳濃度垂直分佈中觀察到一些逆碳濃度的同時,逆程度不嚴重而是較少,其係由於使用於離子植入之工具的限制而自然地發生。因為大部分離子植入一般以從約2KeV至約100KeV範圍內的預設植入能量來進行,所以在先前技術中之「偶然」或「被動」弱逆碳濃度大部分起因於碳植入分佈的不均勻性。設定每一離子植入能量產生一尖峰在植入離子的「深度分佈」中。為了將植入物之濃度的垂直變化最小化,相同物種的複數次植入中常使用不同能量。甚至在這些情形中,在植入物濃度之 垂直分佈中存在某程度的逆行是不可避免的,因為沒有任何離子植入設備可植入零能量的離子。有關離子植入分佈的一般文獻係發表於S.Wolf與R.N.Tauber所提出的「超大規模積體電路時代的矽處理,第1冊-處理技術(Silicon Processing for the VLSI Era,Vol.1-Process Technology)」(1986)第280-308頁。
相較於偶發或機制限制的逆行,本發明主張在碳濃度垂直分佈中的「主動式」逆分佈,用以製造具有缺陷密度減少的Si:C層。基本上,經由離子植入形成在矽基板表面下的Si:C層具有希望的碳濃度水平。為了應力工程的目的,此水平是從原子濃度大約0.2%至大約5.0%,且一般是從原子濃度大約0.5%至大約2.0%。先前技術之研究係儘可能維持Si:C層內的濃度一定,除了在矽表面與植入區域較深端尾部附近自然發生逆行以外,碳濃度會隨著深度以指數方式減少。會如此乃是為在Si:C層提供高碳密度。減少任何地方的碳濃度,包括靠近表面的體積,將會減少所併入的碳數量。不過,根據本發明,在碳濃度垂直分佈中之「主動式」逆分佈會抑制在表面上的碳濃度,使其不會超過在塊狀Si:C層中之碳濃度的25%。這乃藉由排除部分的碳植入而得到,碳植入部分即將任何實質數量的碳傳送於表面附近,亦即,會在碳植入中將排除低能量設定。較佳地,在表面的碳濃度不超過在塊狀Si:C層中濃度的15%。更佳地,在表面的碳濃度會小於約1.0×1020 /cm3 或 0.2%的原子濃度。
表面附近之碳濃度相較於塊狀濃度係實質減少是相當簡單的概念,且就晶體缺陷密度減少而言,此普遍概念之應用甚至仍在本發明所明確說明之範圍外產生一些好處的同時,就決定碳濃度「在塊中」與「在表面附近」的方法而言,明確的準則將在此陳述,以用來準確說明本發明並且致使本發明之實施以得到完整好處。同樣地,碳分佈的逆行程度與在所產生之Si:C層中的缺陷密度水平亦會被量化,以說明與定義本發明。
為了說明本發明,可假定部分的矽基板具有植入的碳於矽基板頂層內。明顯的是,藉由磊晶沈積矽在此結構上,可以形成應變的矽層,且在此所說明的結構會變成此一較大結構的一部份,以實施稍早所說明的裝置的好處。或者,假如部分的矽基板以磊晶嵌入一電晶體結構的話,鄰近的矽材料會由於晶格參數差異而受到應力。
微量的碳係存在於大部分的半導體基板中,且理論上來說,在矽晶錠生長期間內可併入碳,使碳溶解度高至7ppm或3.5×1017 /cm3 的極限。任何超過的碳濃度則必須經由稍後的碳植入或擴散引入到基板內。為弓此原因,從碳濃度變成3.5×1017 /cm3 之矽基板頂表面所測到的矽材料深度,當此深度存在時,將定義為碳植入矽層的厚度。當碳 濃度指數地降低到一特定深度以下時,植碳矽層的厚度係為在塊矽基板中明確定義的尺寸。
在非半導體層直接置於植入碳之矽層下的其他半導體基板中,譬如絕緣層上矽基板的埋式氧化層,碳濃度變成3.5×1017 /cm3 的矽材料之深度會或不會存在於矽層中。換句話說,植入碳原子的投射範圍會超過在此結構中非半導體層上的矽層厚度。假如植入的碳原子的投射範圍超過非半導體層上矽層厚度的-話,矽層本身的厚度則是植碳矽層的厚度。在此情形中,存在於塊矽基板中的所有植碳矽層中,無任何或僅僅部分碳濃度隨深度呈指數地減少係存在於碳濃度的垂直分佈中。
為了說明本發明,植碳矽層之第一體積意指距植碳矽層頂表面之距離小於植碳矽層本身厚度2%的部分植碳矽層。根據本發明的「表面碳濃度」意指在植碳矽層第二體積內的平均碳濃度。植碳矽層之第二體積意指距植碳矽層頂表面之距離是在植碳矽層本身厚度30%與60%之間的部分植碳矽層。由於尖峰碳濃度,或者多半是平線水平(plateau level)碳濃度在碳植入以後在幾乎所有碳濃度分佈中,係發現在植碳矽層大約中間部分,所以第一體積中的平均碳濃度會代表植碳矽層的所有碳濃度水平,並充當在植碳矽層中全部碳含量的良好指示劑。根據本發明所設計的「塊碳濃度」意指在植碳矽層之第一體積內的平均碳濃 度。
Si:C層特性的關鍵性度量係為晶體結構中的缺陷密度。一般而言,在增加晶片功率消耗之電晶體的關閉狀態期間內,晶體結構中的高缺陷密度會造成電流的漏電路徑。同樣地,它會提供應力釋放機制,以減少在該層中與周圍的應力,以及當做載子的散射中心,以減少載子遷移率。因此,有必要限制Si:C層中的缺陷密度,以製造高性能的半導體電路。就製造高性能半導體電路而言,一般可考慮接受比約1.0×1019 /cm2 更小的缺陷密度。根據先前技術,Si:C層中的此低缺陷密度僅可在藉由固相磊晶而生長之具有高達約1.0×1020 /cm3 低碳濃度的Si:C層中被觀察出來。為了說明本發明,缺陷密度小於大約1.0×1019 /cm2 的Si:C層,可用以作為區別具有經由先前技術所致能之相當高碳濃度的不良品質Si:C層以及本發明於相似高塊碳濃度所致能之高品質Si:C層的臨界。
在引領至本發明的研究期間內,具有2%或更高碳濃度的Si:C層可經由使用先前技術方法(包括碳植入與固相磊晶)而成功製造。不過,在製造出的Si:C層頂表面可觀察出存在著多數缺陷,包括位置錯亂、堆疊缺陷與微雙晶(microtwins)。碳濃度越高,缺陷密度越高。經由上述討論的機制,這些缺陷的存在會使電晶體性能降級。
在固相磊晶期間內有關缺陷產生形成的習知理論,會假定在生長前的缺陷成核。在固相磊晶開始時的最初生長表面,係為在底層晶矽與藉由非晶化植入所形成之非晶化材料之間的界面。一旦將缺陷成核產生,隨著生長表面在固相磊晶期間內移動,它們會朝具有生長表面的頂表面延伸。本理論的含意係為缺陷會群聚在預非晶化植入的範圍末端附近。同樣地,根據本理論,碳植入於絕緣層上矽(SOI)基板內以使預非晶化植入的範圍末端在埋式氧化層內,將會排除大部分的缺陷。
不過,導致本發明的研究顯示出在範圍末端深度在埋式氧化層內之預非晶化植入以後,植入碳於絕緣層上矽基板內並不會排除高碳濃度膜中的大部分缺陷。反而,在所有高碳濃度的Si:C層中將觀察出在表面附近的高濃度缺陷。
從這些實驗可斷定表面碳濃度可替代塊碳濃度而為Si:C層中缺陷密度的決定因子。藉由產生主動式逆分佈於碳濃度中,會一貫性地產生缺陷密度小於約1.0×109 /cm2 的Si:C層,以用於原子濃度1%-3%的塊狀碳濃度。在任一先前技術中,是不可能的同時製造具有此高塊碳濃度與此低缺陷密度之Si:C層。
因此,根據本發明之第一實施例,藉由具有主動式逆 分佈的碳植入,接著經由固相磊晶將植碳矽層再生長於Si:C層內,而形成Si:C層在半導體基板內。主動式逆分佈具有等於或小於塊碳濃度25%之表面碳濃度。較佳地,主動式逆分佈具有等於或小於塊碳濃度15%的表面碳濃度。更佳地,主動式逆分佈具有等於或小於約1.0×1020 /cm3 或約0.2%原子濃度的表面碳濃度。同時,較佳地,從植碳矽層頂表面起測量,從植碳矽層厚度30%的深度到植碳矽層厚度2%的深度,碳濃度會單調(montonically)減少。
在碳植入以後,植碳矽層會經由固相磊晶而轉換成替代碳單晶矽(Si:C)。被併入於非晶矽結構內的碳原子會在固相磊晶製程期間內被放入單晶矽結構內的替代位置。目前複數種固相磊晶方法皆可使用,其包括在火爐中的傳統退火、快速熱退火、快閃退火與雷射退火。一般而言,矽的固相磊晶需要超過650℃的溫度。為了完成固相磊晶所需要的時間長度係取決於植碳矽層的溫度與厚度,但一般卻與植碳矽層的厚度成比例,並隨著溫度增加而變短。
因此重新生長的Si:C層係為一連續薄膜,作為沈積應變矽於其上之磊晶模板。應變矽的沈積較佳係藉由矽磊晶來進行。因為在磊晶生長面內,應變矽具有較小的晶格參數,所以壓縮雙軸應力會存在於該層內。此應變矽層具有提高的電洞遷移率,該電洞遷移率可用來製造具有提高的開啟電流的PFET。根據本發明之第一實施例,其他半導 體裝置亦可善用在結構頂部之磊晶生長矽膜上的雙軸壓縮應力。
根據本發明之第二實施例,藉由具有主動式逆分佈的碳植入,接著經由固相磊晶將植碳矽層再生長入Si:C層內,那麼會有至少一Si:C層形成在半導體裝置內,較佳地在電晶體的源極與汲極區域內。更佳地,電晶體係為一NFET電晶體。主動式逆分佈具有等於或小於塊碳濃度25%的表面碳濃度。較佳地,主動式逆分佈具有等於或小於塊碳濃度15%的表面碳濃度。更佳地,主動式逆分佈具有等於或小於約1.0×10t t/cm3 或約0.2%原子濃度的表面碳濃度。同時,較佳地,從植碳矽層頂表面起測量,從植碳矽層厚度30%的深度到植碳矽層厚度2%的深度,碳濃度會單調減少。
因為碳濃度的垂直分佈無法被充分定義於起因於植入碳原子的側向分佈(lateral straggle)之存在碳的碳植入區域週邊與外面的原因,所以與Si:C層之量化有關的所有參數會在碳植入區域內測量出來。
本發明之第二實施例可利用固相磊晶之相同的方法,其包括在一火爐中的傳統退火、快速熱退火、快閃退火與雷射退火。該些製程條件亦相同。
較佳地,因而再生長的Si:C層係嵌入於電晶體之源極與汲極區域內。在此一電晶體中,電晶體之主體包含矽晶。具有延伸與環植入(halo implants)的一些電摻雜亦可存在。不過,假如不是全部的話,每一源極與汲極的實質部分(substantial portion)均包含一Si:C層,根據本發明之第二實施例,其具有Si:C層的結構性限制。因為此些電晶體之源極與汲極區域的晶格常數比無應力的矽層更小,所以源極與汲極區域則會在電流方向施加單軸拉張應力於電晶體通道上。這會造成通道中之電子遷移率的增加。因此,根據本發明之第二實施例,製造因提高電子遷移率使具有較高開啟電流的NFET。
在詳細說明本發明以前,就製造高碳濃度Si:C的能力而言,將先討論先前技術極限。本討論之目的係為對照先前技術極限以清楚描述本發明新的致能特徵。
用於製造Si:C層的傳統碳植入,一般會應用具有一或多重植入能量設定的碳植入。所造成之碳的深度分佈,包含Strane等人所提出之如圖7所示之表面附近的小程度逆行。在Strane等人所提出之圖7表面附近碳濃度的尖波(spike)是人造的,並且非常可能是該樣品表面附近的二次離子質譜(secondary ion mass spectroscopy,SIMS)加工品。在Strane等人所提出之圖7中,值得注意的是,該膜 表面附近大約0.4%的碳濃度是0.6%尖峰碳濃度(peak carbon concentration)的大約67%。明顯地,該表面附近之此薄膜的碳濃度會大於尖峰碳濃度的25%,更不必說定義於以上的塊碳濃度具有整個距離範圍的一平均值。
根據先前技術,圖1的第一圖100係顯示具有Strane等人所見之弱逆行之碳濃度深度分佈136的實例。因為圖1中之第一圖100的垂直軸具有對數尺寸,所以在表面附近的深度分佈136中只可見到和緩的斜坡。在大部分的先前技術方法,在增加Si:C層應力的過程中,會併入儘可能多的碳,以產生類似的碳濃度深度分佈。亦可在第一圖100中見到超過第一深度A1之碳濃度的指數減少。在碳濃度3.5×1017 /cm3 標記之植碳矽層厚度的第二深度A2亦顯示於圖1。
圖2中的第二圖200係顯示本發明之研究所應用小不具有逆分佈之Si:C層中之碳濃度之第二深度分佈236。在此研究期間內,具有第二深度分佈236的Si:C層係製造於絕緣層上矽(SOI)基板上。選擇第三深度B1,在該深度下,碳濃度呈指數地減少,以使第三深度大於在埋式氧化層上的矽層厚度。換句話說,在矽層中的碳濃度係實質均一。選擇植碳的劑量,以使在整個頂部矽層之碳濃度係約1.0×1020 /cm3或約0.2%原子濃度。在此Si:C層中,碳濃度之第二深度分佈236的平坦性,可企圖在將大量碳放於矽 層內的努力中得到。選擇預非晶化植入(preamorphization implant)的能量,以使於非晶化植入的範圍末端(end-of-range)在埋式氧化層內,以避免固相磊晶後在Si:C層中產生範圍末端缺陷。碳濃度達到3.5×1017 /cm3 之第三深度B1與第四深度B2兩者均在頂部矽層與埋式氧化層之間的界面以下。
圖3係顯示固相磊晶後之Si:C層的第一穿透式電子顯微圖片(TEM)300。TEM 300顯示在埋式氧化(BOX)320下之底層矽基板310、替代碳單晶矽(Si:C)層330、晶體缺陷349以及用以覆蓋Si:C層的鉻層360。設定預非晶化植入的能量,使得預非晶化植入的範圍末端能夠在埋式氧化層320內,埋式氧化層320可避免範圍末端缺陷。替代碳單晶矽層330的厚度係大約70奈米。2keV、6keV與8 keV的離子植入能量設定可用來傳送全部大約4.0×1015 /cm2 的劑量到基板內。此種碳植入的組合會產生圖2所示之碳濃度的深度分佈236。在此植入以後的塊碳濃度係大約5.0×1020 /cm3 。表面碳濃度幾乎等於5.0×1020 /cm3 小塊碳濃度。在沒有範圍末端缺陷的同時,誠如由於選出預非晶化植入能量所預期的,高密度缺陷349的存在會在TEM 300中清楚可見。該種類的缺陷包括位置錯亂、疊層缺陷與微雙晶。進一步的實驗則確認,根據本研究,缺陷密度會隨著Si:C層中碳濃度的增加而增加。
根據本發明之第一實施例,係提供矽基板在藉由離子植入來植入之碳原子中。矽基板可為一塊基板或絕緣層上矽基板。圖4顯示一塊矽基板400,塊矽基板400具有植碳矽層436以及實際上沒有碳植入的底層矽層410。植碳矽層436與底層矽層410間的分界是藉由碳濃度為3.5×1017 /cm3 的深度或在矽熔化溫度上的碳溶解度所決定。植碳矽層436的厚度係為植碳矽層436與底層矽層410間的分界的深度。
在塊晶圓的範例中,植碳矽層436會被分成四體積,其分界係由圖4中的四條虛線所代表。圖4中最頂部虛線(或2%線)係對應從矽基板頂表面起算之植碳矽層436之2%厚度的距離。圖4中自頂部起的第二虛線(或30%線)係對應從矽基板頂表面起算之植碳矽層436之30%厚度的距離。圖4中,自頂部起的第三虛線(或60%線)係對應從矽基板頂表面起算之植碳矽層436之60%厚度的距離。圖4中,最底部虛線(或分界線)係對應從矽基板頂表面起算的植碳矽層436厚度。第一體積435係定義為矽表面與2%線之間植碳矽層436的體積。第二體積433係定義為30%線與60%線之間植碳矽層436的體積。第三體積434係定義為2%線與30%線之間植碳矽層436的體積。第四體積432係定義為60%線與分界線之間植碳矽層436的體積。
圖5顯示具有上層矽層536、埋式氧化層520與底層矽層510的絕緣層上矽基板500。根據本發明之第一實施例,碳植入可僅侷限於上層矽層536內或者可延伸入埋式氧化層。假如碳植入僅侷限於上層矽層內的話,碳濃度變成3.5×1017 /cm3 的深度則是植碳矽層的厚度。第一至第四體積則以塊矽晶圓中依據植碳矽層的厚度定義之相同的方式來定義。
假如碳植入延伸到埋式氧化層520內的話,上層矽層536會被分成四個體積,植碳矽層的厚度則會被定義為圖5所示之上層矽層536的厚度。換句話說,假如碳植入進入埋式氧化層520內的話,於上層矽層536內的所有深度之碳濃度均超過3.5×1017 /cm3 ,植碳矽層則確實與上層矽層536相同。在圖5中,最頂部虛線(或2%線)係對應從矽基板表面起算之上層矽層536之厚度2%的距離。在圖5中,自頂部起的第二虛線(或30%線)係對應從矽基板表面起算之上層矽層536之厚度30%的距離。在圖5中,自頂部起的第三虛線(或60%線)係對應從矽基板表面起算之上層矽層536之厚度60%的距離。第一體積535係定義為矽表面與2%線之間植碳矽層536的體積。第二體積533係定義為30%線與60%線之間植碳矽層536的體積。第三體積534係定義為2%線與30%線之間植碳矽層536的體積。第四體積532係定義為60%線與分界線之間上層矽層536的體積。
根據本發明,圖6中的圖式600係顯示碳濃度之第三深度分佈636。第三深度分佈636不僅應用於本發明之第一實施例,也同樣地應用在第二實施例。第三深度分佈636是以植碳矽層厚度之片段所決定的距離而分成許多部分。第一深度D1係對應植碳矽層之厚度2%的深度。第二深度D2係為對應植碳矽層之厚度30%的深度。第三深度D3係為對應植碳矽層之厚度60%的深度。第四深度D4係為對應植碳矽層之厚度的深度。
在塊矽晶圓中,在第四深度D4的碳濃度是3.5×1017 /cm3 。在絕緣層上矽(SOI)晶圓中,第四深度可對應至碳濃度是3.5×1017 /cm3 的深度且小於上層矽層的厚度,或者第四深度與上層矽層的厚度可以相同,且在整個上層矽層之碳濃度皆超過3.5×1017 /cm3 。於是,第三深度分佈636會分成許多部分,包括對應定義於上之第一體積的第一部份635、對應定義於上之第二體積的第二部份633、對應定義於上之第三體積的第三部份634、以及對應定義於上之第四體積的第四部份632。
根據本發明之第一實施例,在深度分佈636之第一部份635中的平均碳濃度會等於或小於在深度分佈636之第二部份633中平均碳濃度的25%。較佳地,假如深度分佈636之第二部份633的平均碳濃度超過2%原子濃度的話, 在深度分佈636之第一部份635中的平均碳濃度係等於或小於在深度分佈636之第二部份633中平均碳濃度的15%。更佳地,在深度分佈636之第一部份635中的平均碳濃度係等於或小於大約1.0×1020 /cm3 或大約0.2%原子濃度。在此段落中的限制與偏好可應用在同樣亦呈現如下的本發明之第二實施例。
根據本發明之第一實施例,深度分佈636之第二部份633的碳濃度係等於或小於5.0%原子濃度。較佳地,深度分佈636之第二部份633的平均碳濃度係等於或大於0.2%原子濃度且等於或小於5%原子濃度。更佳地,深度分佈636之第二部份633的平均碳濃度係等於或大於0.5%原子濃度且等於或小於4%原子濃度。最佳地,深度分佈636之第二部份633的平均碳濃度會等於或大於0.8%原子濃度且等於或小於3%原子濃度。同樣較佳地是在深度分佈636的第二部份633內具有一平坦的碳分佈。在此段落中的限制與偏好可應用在同樣亦呈現如下的本發明第二實施例。
根據本發明之第一實施例,深度分佈636第二部份633包含碳濃度分佈600中的實質平坦部分。換句話說,第二部份633包含一平線區(plateau)於碳濃度分佈600中。平線區的存在反應出最大化併入植碳矽層436或上層矽層536中之全部碳的企圖。在深度分佈之第二部份633中之 碳濃度的範圍較佳地係具有第二部份633之平均碳濃度之50%與150%的範圍,更佳地在75%與125%的範圍內,且最佳地在87.5%與112.5%的範圍內。
在絕緣層上矽(SOI)基板上實施本發明時,碳植入可僅侷限於上層矽層536內,或可延伸到埋式氧化層520內。在碳植入延伸到埋式氧化層內的情形中,在離半導體基板表面之總厚度60%與100%之間之第四體積的平均碳濃度,較佳地係在第二部份633之平均碳濃度的50%與150%之間的範圍內,更佳地係在75%與125%的範圍內,且最佳地係在87.5%與112.5%的範圍內。
根據本發明之第一實施例,亦較佳地是在深度分佈636之第三部份634中具有直線變化的碳濃度。因為在深度分佈636之第三部份633中的平均碳濃度比在第一部份635的平均碳濃度更高,故碳濃度從在替代碳單矽層厚度30%的深度到在替代碳單矽層厚度2%的深度會單調減少。在此段落中的偏好會應用到同樣呈現如下的本發明之第二實施例。
隨後退火植碳矽層,以促進在該層內的固相磊晶製程。本發明的第一實施例可利用固相磊晶的種種方法來實施。這包括在火爐中的傳統退火、快速熱退火、快閃退火與雷射退火。在退火機制受到熱支配的同時,特定退火方 法的選擇基本上會限制退火方法的溫度範圍設定。退火製程的一般溫度範圍是:就經由火爐退火的固相磊晶而言,從約650℃至約1000℃;就經由快速熱退火的固相磊晶而言,從約650℃至約1200℃;以及就經由雷射退火的固相磊晶而言,從約700℃至約1428℃。植碳矽層的厚度與退火時間一樣都會增加。因為固相磊晶的製程機制主要取決於溫度,所以退火時間則大部分由一已知厚度層之溫度與一已知碳密度分佈所決定。基本上,在低溫極限附近,退火時間係在1小時範圍內,且在較高溫度極限附近,則接近數秒或甚至微秒。經由固相磊晶製程,植碳矽層會再生長入替代碳單晶矽中。在整個製程期間內,碳濃度的深度分佈不會明顯改變。
根據本發明之第一實施例所設計之具有特定表面碳濃度水平之替代碳單晶矽的缺陷密度,可相較於具有可比較塊碳濃度之先前技術替代碳單晶矽的缺陷密度。只有當碳濃度小於或約1.0×1020 /cm3 時,該先前技術會產生具有小於約1.0×109 /cm2 之低缺陷密度的替代碳單晶矽層。結果,先前技術替代碳單晶矽所可能的最大塊碳濃度大約是2.0×1020 /cm3 或0.4%原子濃度。當類似限制可應用在根據本發明之第一實施例之替代碳單晶矽層之表面濃度的同時,塊濃.度並不會受到此限制。事實上,塊濃度至少比表面濃度大四倍。因此,根據本發明之第一實施例之替代碳單晶矽,致使小於約1.0×109 /cm2 的低缺陷密度與大於約 2.0×1020 /cm3 塊狀碳濃度的碳濃度,而先前技術則致使在替代碳單晶矽中僅僅小於約1.0×109 /cm2 的低缺陷密度或大於約2.0×1020 /cm3 塊狀碳濃度的碳濃度,而非兩者之組合。在此段落中的限制與偏好會應用在同樣呈現如下的本發明之第二實施例。
圖7顯示根據本發明之第一實施例之形成在絕緣層上矽基板上的替代碳單晶矽層730的TEM 700。底層矽層710、埋式氧化層720與沈積在替代碳單晶矽層730上的鉻層760亦顯示於此。設定預非晶化植入的能量,使得預非晶化植入的範圍末端能夠在埋式氧化層720內,埋式氧化層720可避免範圍末端缺陷。替代碳單晶矽層730的厚度大約70奈米。6 keV與8 keV的離子植入能量設定則可用來傳送全部約3.5×1015 /cm2 的劑量到基板內。在此植入以後的塊碳濃度係約5.0×1020 /cm3 。表面碳濃度則小於約1.0×1020 /cm3 。根據本發明,低表面濃度係藉由意圖排除2 keV碳植入以產生逆分佈所得到。該缺陷密度小於約1.0×109 /cm2 ,因為在TEM樣品長度超過0.4微米的TEM 700中沒有缺陷存在。將根據本發明之第一實施例之TEM 700與根據先前技術之TEM 300相較之下,當兩TEM樣品具有在絕緣層上矽(SOI)基板中相同塊碳濃度水平以及不同層的相同尺寸時,根據先前技術所設計的TEM 300會在替代碳單晶矽層330表面附近顯示出許多晶體缺陷,然而根據本發明之第一實施例的TEM 700則沒有在替代碳單 晶矽層730表面附近顯示出任何缺陷。
根據本發明之第二實施例,將主動式碳濃度逆分佈併入於Si:C層中之電晶體結構800,如圖8所示。電晶體結構800包含底層矽層810、埋式氧化層820、電晶體主體830、閘極介電質842、閘極導體堆疊844、場效電晶體(FET)延伸部832、第一間隙壁852、第二間隙壁854、不具有源極/汲極電摻雜的嵌入Si:C層834、以及具有源極/汲極電摻雜的嵌入Si:C層836。兩替代碳單晶矽層的每一層均包括其中一個不具有源極/汲極電摻雜的嵌入Si:C層834以及其中一個具有源極/汲極電摻雜的嵌入Si:C層836兩者。僅將Si:C層併入於源極內或僅於汲極內在原理上是可能的,較佳地是將源極與汲極兩者分別併入Si:C層。
在電晶體結構800內,Si:C層之垂直尺寸的厚度與所有其他參數均可在植碳區域838內或者在全部植入碳原子的部分植碳矽層內測量出。換句話說,為了碳濃度垂直分佈會依據自直接暴露到碳植入區域邊緣起的距離而改變的明顯因素,在僅經由植碳原子之側向分佈(lateral straggle)或「投影分佈(projected straggle)」所形成之週邊的部分植碳矽層,並不會用來量化任何垂直尺寸參數。
根據本發明之第二實施例,在圖6中之圖式600的深度分佈636可應用在植碳區域838內。本發明之第二實施 例之特定參考之碳濃度的所有限制與偏好均可應用在本發明之第二實施例。本發明之第一實施例與第二實施例之間的實質差別在於,根據第一實施例,Si:C層係以連續薄膜地製造,然而根據第二實施例,Si:C層係製造為電晶體之源極及/或汲極內的一嵌入薄膜。
在將碳植入於電晶體之源極與汲極內以後,退火電晶體結構800,以助於所嵌入植碳矽層的固相磊晶。固相磊晶的退火製程可相同於本發明第一實施例中所應用,亦即火爐中的傳統退火、快速熱退火與雷射退火。譬如退火溫度與退火時間的製程條件可依據所嵌入Si:C層的碳濃度與厚度而被最佳化。
在根據本發明之第二實施例之電晶體結構800之源極與汲極中的缺陷密度係小於具有根據先前技術之源極與汲極中相比較之塊碳濃度的相似電晶體結構的缺陷密度。在明確參考本發明第一實施例所提及之缺陷密度上的所有限制與偏好則可應用在本發明第二實施例。
熟悉此技藝者將立即理解到,在源極與汲極中的電摻雜深度不一定會小於圖8所描述之植碳矽層的厚度。假如電摻雜的深度與植碳矽層之厚度相同的話,誠如在絕緣層上矽基板上所發生的,其中兩植入會延伸到埋式氧化層內,那麼在圖8中不具有源極/汲極電摻雜的嵌入Si:C層 834則會從結構消失。再者,在電摻雜深度超過植碳矽層厚度的某些情形中,一部份的源極或汲極不具有碳摻雜,且Si:C層僅僅會佔據部分的源極與汲極。本發明則包含這些明顯變化。
本發明的關鍵性特徵係為相較於塊碳濃度,表面碳濃度的減少。沒有任何先前技術建議在植碳矽層表面附近減少碳濃度。再者,使矽表面附近的碳濃度減少到塊狀中平均碳濃度水平25%以下,係與增加Si:C層中碳濃度的一般目的相反。相反地,使相鄰矽結構中應力增加,需要將總碳含量最大化,並建議將儘可能多的碳併入於全部的Si:C層。同樣地,關於在本發明以前有效之缺陷上的理論,無法預測在產生缺陷時表面的角色。
本發明結合高塊碳濃度與相當低表面碳濃度,以致於能夠合併在替代碳單晶矽層中全部更高的總碳量與低缺陷密度。在根據先前技術之具有超過2.0×1020 /cm3 塊碳濃度的Si:C層無法避免高於1.0×109 /cm2 之缺陷密度的同時,根據本發明之具有相同併入碳總量與相同厚度的Si:C層會達到比大約1.0×109 /cm2 更低的缺陷密度,且其中沒有過度高的塊碳濃度,例如超過5%。藉由在碳植入期間內,使植碳矽層厚度30%與2%之間的碳濃度深度分佈逆行,則根據本發明可得到具有高碳濃度與低缺陷密度兩者的替代碳單晶矽層。
在本發明根據特定實施例來說明的同時,顯然有鑑於以上說明,那些熟悉此技藝者將明瞭各種替代、改變或修飾。於是,凡其他未脫離本發明所揭示之精神下所完成之替代、改變或修飾等,均應包含在下述之申請範圍內。
136‧‧‧第一深度分佈
200‧‧‧第二深度分佈
236‧‧‧第二深度分佈
300‧‧‧穿透式電子顯微圖片
310‧‧‧底層矽基板
320‧‧‧埋式氧化(BOX)
330‧‧‧替代碳單晶矽(Si:C)層
349‧‧‧晶體缺陷
360‧‧‧鉻層
400‧‧‧塊矽基板
410‧‧‧底層矽層
432‧‧‧第四體積
433‧‧‧第二體積
434‧‧‧第三體積
435‧‧‧第一體積
436‧‧‧植碳矽層
500‧‧‧絕緣層上矽基板
510‧‧‧底層矽層
520‧‧‧埋式氧化層
532‧‧‧第四體積
533‧‧‧第二體積
534‧‧‧第三體積
535‧‧‧第一體積
536‧‧‧上層矽層
600‧‧‧碳濃度分佈
635‧‧‧第一部份
633‧‧‧第二部份
634‧‧‧第三部份
632‧‧‧第四部份
636‧‧‧深度分佈
700‧‧‧第二穿透式電子顯微圖片
710‧‧‧底層矽層
720‧‧‧埋式氧化層
730‧‧‧替代碳單晶矽層
800‧‧‧電晶體結構
810‧‧‧底層矽層
820‧‧‧埋式氧化層
830‧‧‧電晶體主體
842‧‧‧閘極介電質
844‧‧‧閘極導體堆疊
832‧‧‧場效電晶體延伸部
834‧‧‧不具有源極/汲極電摻雜的嵌入Si:C層
836‧‧‧具有源極/汲極電摻雜的嵌入Si:C層
838‧‧‧植碳區域
852‧‧‧第一間隙壁
854‧‧‧第二間隙壁
A1‧‧‧第一深度
A2‧‧‧第二深度
B1‧‧‧第三深度
B2‧‧‧第四深度
D1‧‧‧第一深度
D2‧‧‧第二深度
D3‧‧‧第三深度
D4‧‧‧第四深度
圖1顯示根據先前技術之Si:C層碳濃度之第一深度分佈136的第一圖100。
圖2顯示根據先前技術之Si:C層碳濃度之第二深度分佈236的第二圖200。
圖3顯示根據先前技術之不具有主動式逆分佈之Si:C層的第一穿透式電子顯微鏡圖片(TEM)300。
圖4顯示根據本發明之具有介於植碳矽層436不同部分之間的分界之塊基板的概要截面圖。
圖5顯示根據本發明之具有介於植碳矽層536不同部分之間的分界之絕緣層上矽(SOI)基板的概要截面圖。
圖6顯示根據本發明之具有主動式逆分佈之Si:C層碳濃度之第三深度分佈636的第三圖600。
圖7顯示根據本發明之第一實施例之具有主動式逆分佈之Si:C層的第二穿透式電子顯微圖片700。
圖8顯示根據本發明之第二實施例之電晶體的概要截面圖。
400‧‧‧塊狀矽基板
410‧‧‧底層矽層
432‧‧‧第四體積
433‧‧‧第二體積
434‧‧‧第三體積
435‧‧‧第一體積
436‧‧‧植碳矽層

Claims (15)

  1. 一種半導體結構,包含一替代碳單晶矽層,其具有一厚度及小於約1.0×109 /cm2 的一缺陷密度,置於一半導體基板之一表面下,其中該替代碳單晶矽層包含一第一體積、一第二體積、一第三體積、及一第四體積,其中該第一體積置於該單晶矽層內,且該第一體積夾置於該半導體基板的該表面與離該表面起相對於該厚度2%的一距離的一2%線之間;該第三體積置於該單晶矽層內,且該第三體積夾置於該2%線與離該表面起相對於該厚度30%的一距離的一30%線之間;該第二體積置於該單晶矽層內,且該第二體積夾置於該30%線與離該表面起相對於該厚度60%的一距離的一60%線之間;該第四體積置於該單晶矽層內,且該第四體積夾置於該60%線與該替代碳單晶矽層的一底表面之間;以及其中在該第一體積中的平均碳濃度等於或小於在該第二體積中的平均碳濃度的25%,在該第二體積中的平均碳濃度等於或大於0.8%的原子濃度,以及該碳濃度從該替代碳單晶矽層的厚度的30%深度單調遞減至該替代碳單晶矽層的厚度的2%深度。
  2. 如申請專利範圍第1項所述之半導體結構,其中在該第二體積中的該平均碳濃度係等於或小於5%的原子濃度。
  3. 如申請專利範圍第2項所述之半導體結構,其中在該第二體積中的該平均碳濃度係等於或小於4%的原子濃度。
  4. 如申請專利範圍第3項所述之半導體結構,其中在該第二體積中的該平均碳濃度係等於或小於3%的原子濃度。
  5. 如申請專利範圍第1項所述之半導體結構,其中在該第一體積中的該平均碳濃度係等於或小於大約1.0×1020 /cm3
  6. 一種半導體裝置,包含具有一源極與一汲極的至少一電晶體在一半導體基板上,該源極與該汲極各包含一替代碳單晶矽層的一部分,該替代碳單晶矽層係置於該半導體基板之一表面下,且具有一厚度以及小於大約1.0×109 /cm2 的一缺陷密度,其中該替代碳單晶矽層包含一第一體積、一第二體積、一第三體積、及一第四體積,其中該第一體積置於該單晶矽層內,且該第一體積夾置於該半導體基板的該表面與離該表面起相對於該厚度2%的一距離的一2%線之間;該第三體積置於該單晶矽層內,且該第三體積夾置於該2%線與離該表面起相對於該厚度30%的一距離的一30%線之間;該第二體積置於該單晶矽層內,且該第二體積夾置於該30%線與離該表面起相對於該厚度60%的一距離的一 60%線之間;該第四體積置於該單晶矽層內,且該第四體積夾置於該60%線與該替代碳單晶矽層的一底表面之間;以及其中在該第一體積中的平均碳濃度等於或小於在該第二體積中的平均碳濃度的25%,在該第二體積中的平均碳濃度等於或大於0.8%的原子濃度,以及該碳濃度從該替代碳單晶矽層的厚度的30%深度單調遞減至該替代碳單晶矽層的厚度的2%深度。
  7. 如申請專利範圍第6項所述之半導體結構,其中在該第二體積中的該平均碳濃度係等於或小於5%的原子濃度。
  8. 如申請專利範圍第7項所述之半導體結構,其中在該第二體積中的該平均碳濃度係等於或小於4%的原子濃度。
  9. 如申請專利範圍第8項所述之半導體結構,其中在該第二體積中的該平均碳濃度係等於或小於3%的原子濃度。
  10. 如申請專利範圍第6項所述之半導體結構,其中該半導體基板係一絕緣層上矽(SOI)基板,且該替代碳單矽層會接觸在該替代碳單晶矽層的一底表面上的一埋式氧化層。
  11. 如申請專利範圍第10項所述之半導體結構,其中在該第三體積中的該平均碳濃度係在該第二體積中之該平均碳濃度 50%與150%之間的範圍內。
  12. 如申請專利範圍第11項所述之半導體結構,其中該替代碳單矽層的該厚度係在10nm與300nm的範圍內。
  13. 如申請專利範圍第12項所述之半導體結構,其中該替代碳單矽層的該厚度係在30nm與100nm的範圍內。
  14. 如申請專利範圍第6項所述之半導體結構,其中該半導體基板係為一塊基板。
  15. 如申請專利範圍第6項所述之半導體結構,其中在該第一體積中的該平均碳濃度係等於或小於大約1.0×1020 /cm3。
TW096145556A 2006-12-01 2007-11-30 具有逆碳分佈之低缺陷si:c層 TWI407563B (zh)

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US11/565,793 US7696000B2 (en) 2006-12-01 2006-12-01 Low defect Si:C layer with retrograde carbon profile

Publications (2)

Publication Number Publication Date
TW200840040A TW200840040A (en) 2008-10-01
TWI407563B true TWI407563B (zh) 2013-09-01

Family

ID=39493249

Family Applications (1)

Application Number Title Priority Date Filing Date
TW096145556A TWI407563B (zh) 2006-12-01 2007-11-30 具有逆碳分佈之低缺陷si:c層

Country Status (7)

Country Link
US (1) US7696000B2 (zh)
EP (1) EP2087521B1 (zh)
JP (1) JP5398537B2 (zh)
KR (1) KR101137155B1 (zh)
CN (1) CN101548384B (zh)
TW (1) TWI407563B (zh)
WO (1) WO2008070272A1 (zh)

Families Citing this family (68)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20100001317A1 (en) * 2008-07-03 2010-01-07 Yi-Wei Chen Cmos transistor and the method for manufacturing the same
US8330170B2 (en) * 2008-12-05 2012-12-11 Micron Technology, Inc. Semiconductor device structures including transistors with energy barriers adjacent to transistor channels and associated methods
US20100279479A1 (en) * 2009-05-01 2010-11-04 Varian Semiconductor Equipment Associates, Inc. Formation Of Raised Source/Drain On A Strained Thin Film Implanted With Cold And/Or Molecular Carbon
JP5381382B2 (ja) * 2009-06-19 2014-01-08 富士通セミコンダクター株式会社 半導体装置及びその製造方法
US8421162B2 (en) 2009-09-30 2013-04-16 Suvolta, Inc. Advanced transistors with punch through suppression
US8273617B2 (en) 2009-09-30 2012-09-25 Suvolta, Inc. Electronic devices and systems, and methods for making and using the same
US8836036B2 (en) * 2010-01-05 2014-09-16 Globalfoundries Singapore Pte. Ltd. Method for fabricating semiconductor devices using stress engineering
US10128115B2 (en) * 2010-02-26 2018-11-13 Taiwan Semiconductor Manufacturing Company, Ltd. Method of forming ultra-shallow junctions in semiconductor devices
US8530286B2 (en) 2010-04-12 2013-09-10 Suvolta, Inc. Low power semiconductor transistor structure and method of fabrication thereof
US8569128B2 (en) 2010-06-21 2013-10-29 Suvolta, Inc. Semiconductor structure and method of fabrication thereof with mixed metal types
US8759872B2 (en) 2010-06-22 2014-06-24 Suvolta, Inc. Transistor with threshold voltage set notch and method of fabrication thereof
US8377783B2 (en) 2010-09-30 2013-02-19 Suvolta, Inc. Method for reducing punch-through in a transistor device
US8404551B2 (en) 2010-12-03 2013-03-26 Suvolta, Inc. Source/drain extension control for advanced transistors
US8461875B1 (en) 2011-02-18 2013-06-11 Suvolta, Inc. Digital circuits having improved transistors, and methods therefor
US8525271B2 (en) 2011-03-03 2013-09-03 Suvolta, Inc. Semiconductor structure with improved channel stack and method for fabrication thereof
US8400219B2 (en) 2011-03-24 2013-03-19 Suvolta, Inc. Analog circuits having improved transistors, and methods therefor
US8748270B1 (en) 2011-03-30 2014-06-10 Suvolta, Inc. Process for manufacturing an improved analog transistor
US8999861B1 (en) 2011-05-11 2015-04-07 Suvolta, Inc. Semiconductor structure with substitutional boron and method for fabrication thereof
US8796048B1 (en) 2011-05-11 2014-08-05 Suvolta, Inc. Monitoring and measurement of thin film layers
US8811068B1 (en) 2011-05-13 2014-08-19 Suvolta, Inc. Integrated circuit devices and methods
US8569156B1 (en) 2011-05-16 2013-10-29 Suvolta, Inc. Reducing or eliminating pre-amorphization in transistor manufacture
US8735987B1 (en) 2011-06-06 2014-05-27 Suvolta, Inc. CMOS gate stack structures and processes
US8995204B2 (en) 2011-06-23 2015-03-31 Suvolta, Inc. Circuit devices and methods having adjustable transistor body bias
US8629016B1 (en) 2011-07-26 2014-01-14 Suvolta, Inc. Multiple transistor types formed in a common epitaxial layer by differential out-diffusion from a doped underlayer
ITRM20110400A1 (it) 2011-07-27 2013-01-28 Uni Politecnica Delle Marche Nuove composizioni per la protezione solare.
US8748986B1 (en) 2011-08-05 2014-06-10 Suvolta, Inc. Electronic device with controlled threshold voltage
WO2013022753A2 (en) 2011-08-05 2013-02-14 Suvolta, Inc. Semiconductor devices having fin structures and fabrication methods thereof
US8645878B1 (en) 2011-08-23 2014-02-04 Suvolta, Inc. Porting a circuit design from a first semiconductor process to a second semiconductor process
US8614128B1 (en) 2011-08-23 2013-12-24 Suvolta, Inc. CMOS structures and processes based on selective thinning
JP5802492B2 (ja) * 2011-09-09 2015-10-28 株式会社東芝 半導体素子及びその製造方法
US8713511B1 (en) 2011-09-16 2014-04-29 Suvolta, Inc. Tools and methods for yield-aware semiconductor manufacturing process target generation
US9236466B1 (en) 2011-10-07 2016-01-12 Mie Fujitsu Semiconductor Limited Analog circuits having improved insulated gate transistors, and methods therefor
US8481341B2 (en) 2011-11-05 2013-07-09 Tokyo Electron Limited Epitaxial film growth in retrograde wells for semiconductor devices
US8895327B1 (en) 2011-12-09 2014-11-25 Suvolta, Inc. Tipless transistors, short-tip transistors, and methods and circuits therefor
US8819603B1 (en) 2011-12-15 2014-08-26 Suvolta, Inc. Memory circuits and methods of making and designing the same
US8883600B1 (en) 2011-12-22 2014-11-11 Suvolta, Inc. Transistor having reduced junction leakage and methods of forming thereof
US8599623B1 (en) 2011-12-23 2013-12-03 Suvolta, Inc. Circuits and methods for measuring circuit elements in an integrated circuit device
US8476706B1 (en) 2012-01-04 2013-07-02 International Business Machines Corporation CMOS having a SiC/SiGe alloy stack
US8970289B1 (en) 2012-01-23 2015-03-03 Suvolta, Inc. Circuits and devices for generating bi-directional body bias voltages, and methods therefor
US8877619B1 (en) 2012-01-23 2014-11-04 Suvolta, Inc. Process for manufacture of integrated circuits with different channel doping transistor architectures and devices therefrom
US9093550B1 (en) 2012-01-31 2015-07-28 Mie Fujitsu Semiconductor Limited Integrated circuits having a plurality of high-K metal gate FETs with various combinations of channel foundation structure and gate stack structure and methods of making same
US9406567B1 (en) 2012-02-28 2016-08-02 Mie Fujitsu Semiconductor Limited Method for fabricating multiple transistor devices on a substrate with varying threshold voltages
US8863064B1 (en) 2012-03-23 2014-10-14 Suvolta, Inc. SRAM cell layout structure and devices therefrom
US9299698B2 (en) 2012-06-27 2016-03-29 Mie Fujitsu Semiconductor Limited Semiconductor structure with multiple transistors having various threshold voltages
US8637955B1 (en) 2012-08-31 2014-01-28 Suvolta, Inc. Semiconductor structure with reduced junction leakage and method of fabrication thereof
US9112057B1 (en) 2012-09-18 2015-08-18 Mie Fujitsu Semiconductor Limited Semiconductor devices with dopant migration suppression and method of fabrication thereof
US9041126B2 (en) 2012-09-21 2015-05-26 Mie Fujitsu Semiconductor Limited Deeply depleted MOS transistors having a screening layer and methods thereof
CN104854698A (zh) 2012-10-31 2015-08-19 三重富士通半导体有限责任公司 具有低变化晶体管外围电路的dram型器件以及相关方法
US8816754B1 (en) 2012-11-02 2014-08-26 Suvolta, Inc. Body bias circuits and methods
CN103811349A (zh) * 2012-11-06 2014-05-21 中国科学院微电子研究所 半导体结构及其制造方法
US9093997B1 (en) 2012-11-15 2015-07-28 Mie Fujitsu Semiconductor Limited Slew based process and bias monitors and related methods
US9070477B1 (en) 2012-12-12 2015-06-30 Mie Fujitsu Semiconductor Limited Bit interleaved low voltage static random access memory (SRAM) and related methods
US9112484B1 (en) 2012-12-20 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit process and bias monitors and related methods
US9268885B1 (en) 2013-02-28 2016-02-23 Mie Fujitsu Semiconductor Limited Integrated circuit device methods and models with predicted device metric variations
US8994415B1 (en) 2013-03-01 2015-03-31 Suvolta, Inc. Multiple VDD clock buffer
US8988153B1 (en) 2013-03-09 2015-03-24 Suvolta, Inc. Ring oscillator with NMOS or PMOS variation insensitivity
US9299801B1 (en) 2013-03-14 2016-03-29 Mie Fujitsu Semiconductor Limited Method for fabricating a transistor device with a tuned dopant profile
US9449967B1 (en) 2013-03-15 2016-09-20 Fujitsu Semiconductor Limited Transistor array structure
US9112495B1 (en) 2013-03-15 2015-08-18 Mie Fujitsu Semiconductor Limited Integrated circuit device body bias circuits and methods
US9478571B1 (en) 2013-05-24 2016-10-25 Mie Fujitsu Semiconductor Limited Buried channel deeply depleted channel transistor
US8976575B1 (en) 2013-08-29 2015-03-10 Suvolta, Inc. SRAM performance monitor
US9865731B2 (en) * 2013-11-15 2018-01-09 Taiwan Semiconductor Manufacturing Company Ltd. Semiconductor device and manufacturing method thereof
US9710006B2 (en) 2014-07-25 2017-07-18 Mie Fujitsu Semiconductor Limited Power up body bias circuits and methods
US9319013B2 (en) 2014-08-19 2016-04-19 Mie Fujitsu Semiconductor Limited Operational amplifier input offset correction with transistor threshold voltage adjustment
WO2018080772A1 (en) * 2016-10-26 2018-05-03 Sunedison Semiconductor Limited High resistivity silicon-on-insulator substrate having enhanced charge trapping efficiency
CN108962754B (zh) * 2017-05-19 2021-11-30 中芯国际集成电路制造(上海)有限公司 半导体装置及其制造方法
US11837634B2 (en) 2020-07-02 2023-12-05 Atomera Incorporated Semiconductor device including superlattice with oxygen and carbon monolayers
CN117670876B (zh) * 2024-01-31 2024-05-03 成都数之联科技股份有限公司 一种面板缺陷严重程度判级方法、系统、设备及存储介质

Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5212101A (en) * 1989-04-14 1993-05-18 Secretary Of State For Defence In Her Britannic Majesty's Government Of The United Kingdom Substitutional carbon in silicon
US5360986A (en) * 1993-10-05 1994-11-01 Motorola, Inc. Carbon doped silicon semiconductor device having a narrowed bandgap characteristic and method
US20060006427A1 (en) * 2004-07-07 2006-01-12 Tan Chung F Material architecture for the fabrication of low temperature transistor

Family Cites Families (5)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2001332492A (ja) * 2000-05-19 2001-11-30 Sony Corp 炭化ケイ素薄膜構造体およびその製造方法ならびに薄膜トランジスタ
FR2844095B1 (fr) * 2002-09-03 2005-01-28 Commissariat Energie Atomique Procede de fabrication d'un substrat composite du type sicoi comprenant une etape d'epitaxie
JP4377139B2 (ja) * 2003-02-19 2009-12-02 株式会社 日立ディスプレイズ 表示装置
US7223994B2 (en) 2004-06-03 2007-05-29 International Business Machines Corporation Strained Si on multiple materials for bulk or SOI substrates
US7288443B2 (en) 2004-06-29 2007-10-30 International Business Machines Corporation Structures and methods for manufacturing p-type MOSFET with graded embedded silicon-germanium source-drain and/or extension

Patent Citations (3)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US5212101A (en) * 1989-04-14 1993-05-18 Secretary Of State For Defence In Her Britannic Majesty's Government Of The United Kingdom Substitutional carbon in silicon
US5360986A (en) * 1993-10-05 1994-11-01 Motorola, Inc. Carbon doped silicon semiconductor device having a narrowed bandgap characteristic and method
US20060006427A1 (en) * 2004-07-07 2006-01-12 Tan Chung F Material architecture for the fabrication of low temperature transistor

Also Published As

Publication number Publication date
US20080128806A1 (en) 2008-06-05
KR20090089363A (ko) 2009-08-21
JP2010512006A (ja) 2010-04-15
KR101137155B1 (ko) 2012-04-19
TW200840040A (en) 2008-10-01
CN101548384A (zh) 2009-09-30
CN101548384B (zh) 2012-05-30
EP2087521A1 (en) 2009-08-12
JP5398537B2 (ja) 2014-01-29
EP2087521A4 (en) 2011-11-09
US7696000B2 (en) 2010-04-13
EP2087521B1 (en) 2012-12-05
WO2008070272A1 (en) 2008-06-12

Similar Documents

Publication Publication Date Title
TWI407563B (zh) 具有逆碳分佈之低缺陷si:c層
US7169675B2 (en) Material architecture for the fabrication of low temperature transistor
US7759228B2 (en) Semiconductor device and method of manufacturing the same
US7968459B2 (en) Ion implantation combined with in situ or ex situ heat treatment for improved field effect transistors
KR101605150B1 (ko) 스트레인 유도 합금 및 그레이드형 도펀트 프로파일을 포함하는 인 시츄 형성되는 드레인 및 소스 영역들
TW517312B (en) Method for forming semiconductor device having epitaxial channel layer using laser treatment
US9246006B2 (en) Recrystallization of source and drain blocks from above
CN108461394B (zh) 采用应力记忆技术制造半导体器件的方法及半导体器件
JP2009529803A (ja) 電界効果型トランジスタにおけるコンタクト抵抗を減少させるエピタキシャルシリコンゲルマニウム
US9966453B2 (en) Method for doping source and drain regions of a transistor by means of selective amorphisation
US20080286908A1 (en) Method of Producing a Semiconductor Element in a Substrate
US8273642B2 (en) Method of fabricating an NMOS transistor
US8361868B2 (en) Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
TWI556320B (zh) 半導體設備製造中低的熱預算方案
US9059201B2 (en) Transistor with longitudinal strain in channel induced by buried stressor relaxed by implantation
US20090227085A1 (en) Manufacturing method of semiconductor device
JP5700563B2 (ja) 半導体素子構造の形成方法、及び半導体素子
JP2008244261A (ja) Soi基板の製造方法
JP4066022B2 (ja) 半導体装置の製造方法
JP2010219426A (ja) 半導体装置およびその製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees