US20100001317A1 - Cmos transistor and the method for manufacturing the same - Google Patents

Cmos transistor and the method for manufacturing the same Download PDF

Info

Publication number
US20100001317A1
US20100001317A1 US12/168,062 US16806208A US2010001317A1 US 20100001317 A1 US20100001317 A1 US 20100001317A1 US 16806208 A US16806208 A US 16806208A US 2010001317 A1 US2010001317 A1 US 2010001317A1
Authority
US
United States
Prior art keywords
thin film
transistor
pmos transistor
drain
source
Prior art date
Legal status (The legal status is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the status listed.)
Abandoned
Application number
US12/168,062
Inventor
Yi-Wei Chen
Teng-Chun Tsai
Chien-Chung Huang
Jei-Ming Chen
Tsai-fu Hsiao
Current Assignee (The listed assignees may be inaccurate. Google has not performed a legal analysis and makes no representation or warranty as to the accuracy of the list.)
United Microelectronics Corp
Original Assignee
United Microelectronics Corp
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Application filed by United Microelectronics Corp filed Critical United Microelectronics Corp
Priority to US12/168,062 priority Critical patent/US20100001317A1/en
Assigned to UNITED MICROELECTRONICS CORP. reassignment UNITED MICROELECTRONICS CORP. ASSIGNMENT OF ASSIGNORS INTEREST (SEE DOCUMENT FOR DETAILS). Assignors: CHEN, JEI-MING, CHEN, YI-WEI, HSIAO, TSAI-FU, HUANG, CHIEN-CHUNG, TSAI, TENG-CHUN
Publication of US20100001317A1 publication Critical patent/US20100001317A1/en
Priority to US14/060,568 priority patent/US9502305B2/en
Abandoned legal-status Critical Current

Links

Images

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823814Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the source or drain structures, e.g. specific source or drain implants or silicided source or drain structures or raised source or drain structures
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having at least one potential-jump barrier or surface barrier, e.g. PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic System or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/26Bombardment with radiation
    • H01L21/263Bombardment with radiation with high-energy radiation
    • H01L21/265Bombardment with radiation with high-energy radiation producing ion implantation
    • H01L21/26506Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors
    • H01L21/26513Bombardment with radiation with high-energy radiation producing ion implantation in group IV semiconductors of electrically active species
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/77Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate
    • H01L21/78Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices
    • H01L21/82Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components
    • H01L21/822Manufacture or treatment of devices consisting of a plurality of solid state components or integrated circuits formed in, or on, a common substrate with subsequent division of the substrate into plural individual devices to produce devices, e.g. integrated circuits, each consisting of a plurality of components the substrate being a semiconductor, using silicon technology
    • H01L21/8232Field-effect technology
    • H01L21/8234MIS technology, i.e. integration processes of field effect transistors of the conductor-insulator-semiconductor type
    • H01L21/8238Complementary field-effect transistors, e.g. CMOS
    • H01L21/823807Complementary field-effect transistors, e.g. CMOS with a particular manufacturing method of the channel structures, e.g. channel implants, halo or pocket implants, or channel materials
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/02Semiconductor bodies ; Multistep manufacturing processes therefor
    • H01L29/12Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed
    • H01L29/16Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System
    • H01L29/161Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys
    • H01L29/165Semiconductor bodies ; Multistep manufacturing processes therefor characterised by the materials of which they are formed including, apart from doping materials or other impurities, only elements of Group IV of the Periodic System including two or more of the elements provided for in group H01L29/16, e.g. alloys in different semiconductor regions, e.g. heterojunctions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/66007Multistep manufacturing processes
    • H01L29/66075Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials
    • H01L29/66227Multistep manufacturing processes of devices having semiconductor bodies comprising group 14 or group 13/15 materials the devices being controllable only by the electric current supplied or the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched, e.g. three-terminal devices
    • H01L29/66409Unipolar field-effect transistors
    • H01L29/66477Unipolar field-effect transistors with an insulated gate, i.e. MISFET
    • H01L29/66568Lateral single gate silicon transistors
    • H01L29/66613Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation
    • H01L29/66628Lateral single gate silicon transistors with a gate recessing step, e.g. using local oxidation recessing the gate by forming single crystalline semiconductor material at the source or drain location
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L29/00Semiconductor devices adapted for rectifying, amplifying, oscillating or switching, or capacitors or resistors with at least one potential-jump barrier or surface barrier, e.g. PN junction depletion layer or carrier concentration layer; Details of semiconductor bodies or of electrodes thereof  ; Multistep manufacturing processes therefor
    • H01L29/66Types of semiconductor device ; Multistep manufacturing processes therefor
    • H01L29/68Types of semiconductor device ; Multistep manufacturing processes therefor controllable by only the electric current supplied, or only the electric potential applied, to an electrode which does not carry the current to be rectified, amplified or switched
    • H01L29/76Unipolar devices, e.g. field effect transistors
    • H01L29/772Field effect transistors
    • H01L29/78Field effect transistors with field effect produced by an insulated gate
    • H01L29/7842Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate
    • H01L29/7843Field effect transistors with field effect produced by an insulated gate means for exerting mechanical stress on the crystal lattice of the channel region, e.g. using a flexible substrate the means being an applied insulating layer

Definitions

  • the present invention is related to a CMOS transistor and a method for manufacturing the same, and particularly, to a CMOS transistor capable of preventing Ge out-diffusion and a method for manufacturing the same.
  • CMOS complementary metal-oxide semiconductor
  • PMOS p-type MOS
  • NMOS n-type MOS
  • the strained-silicon technique substantially includes a substrate-strained based method and a process-induced strain based method.
  • the substrate-strained based system is performed with a strained-silicon substrate or a selective epitaxial growth process that results in lattice constant discrepancy.
  • the process-induced strain based method is performed with several unique processes to form a strained thin film upon a surface of the MOS transistor that exert tensile stress or compressive stress upon the MOS transistor. Both of the strained-silicon techniques introduce strain into the channel region and reduce carrier mobile resistance thereby improving carrier mobility and MOS transistor performance.
  • FIG. 1 is a schematic diagram illustrating a conventional CMOS transistor 10 .
  • the CMOS transistor 10 includes a PMOS transistor 12 and an NMOS transistor 14 disposed on a substrate 16 .
  • a plurality of shallow trench isolations (STI) 30 is disposed on the substrate 16 to prevent short-circuiting between the PMOS transistor 12 and the NMOS transistor 14 .
  • the NMOS transistor 14 having a source/drain 20 A and a gate structure 22 A is disposed on a P well 18 formed in the substrate 16 .
  • the PMOS transistor 12 having a source/drain 20 B and a gate structure 22 B is disposed on an N well 24 formed in the substrate 16 .
  • the source/drain 20 B of the PMOS transistor 12 is a silicon germanium (SiGe) epitaxial layer.
  • a compressive strain resulting from the lattice constant discrepancy of SiGe epitaxial layer is induced into the channel region of the PMOS transistor 12 .
  • Nickel silicide layers 26 are respectively formed on the surface of the source/drain 20 A, 20 B for increasing the Ohmic contact capability between metals and the silicon substrate.
  • a high tensile thin film 28 is formed on the CMOS transistor 10 .
  • the high tensile thin film 28 is disposed covering the gate structure 22 A, 22 B and the source/drain 20 A, 20 B.
  • an UV curing process is performed by a UV radiation to enhance the tensile strain of the high tensile thin film 28 that results in elongating the distance of the lattice of the channel region positioned under the gate structure 22 A of the NMOS transistor 14 . Therefore, the NMOS transistor 14 has a higher driving current and a better electron mobility in the channel region.
  • Tensile strain of the high tensile thin film 28 is adjusted by the UV curing process for improving performance of NMOS transistor 14 .
  • the tensile strain results in Ge-out diffusion at the source/drain 20 B of the PMOS transistor 12 .
  • FIG. 2 which is a SEM photo of the CMOS transistor 10
  • a plurality of black spots are formed on the surface of the nickel silicide layer 26 , in which is the evidence of the Ge-out diffusion.
  • Ge-out diffusion results in silicide agglomeration that increases resistance, reduces the concentration of the Ge in the SiGe epitaxial layer, and affects the accuracy of the threshold voltage of the PMOS transistor 12 .
  • the present invention provides a method of manufacturing a CMOS transistor, which is capable of preventing Ge-out diffusion.
  • a semiconductor substrate having at least a PMOS transistor and an NMOS transistor is provided.
  • the source/drain of the PMOS transistor has Ge therein.
  • a carbon-doped layer is formed at the top portion of the source/drain of the PMOS transistor.
  • a self-aligned silicide process is performed.
  • At least a tensile thin film is formed covering the semiconductor substrate, the NMOS transistor, and the PMOS transistor.
  • a surface treatment is performed upon the tensile thin film.
  • the present invention further discloses a CMOS transistor.
  • the CMOS transistor has a semiconductor substrate, at least a NMOS transistor and at least a PMOS transistor disposed on the semiconductor substrate, and a CESL disposed on the PMOS transistor and the NMOS transistor.
  • the PMOS transistor has a source/drain, which includes Ge therein.
  • a carbon-doped layer is disposed in the top portion of the source/drain of the PMOS transistor, and so that, the CMOS transistor of the present invention is capable of preventing Ge-out diffusion.
  • the CMOS transistor formed by the method of the present invention has a carbon-doped layer in the top portion of the source/drain of the PMOS transistor. Therefore, the concentration of the Ge dopant is maintained in the source/drain of the PMOS transistor and the issue of Ge-out diffusion is solved.
  • FIG. 1 is a schematic diagram illustrating a conventional CMOS transistor.
  • FIG. 2 is a SEM photo of the conventional CMOS transistor.
  • FIG. 3 to FIG. 9 are schematic diagrams illustrating a method for manufacturing a CMOS transistor according to a preferred embodiment of the present invention.
  • FIG. 10 is a flow diagram of the method of the present invention to manufacture the CMOS transistor for preventing Ge-out diffusion.
  • FIG. 11 is a SEM photo of the CMOS transistor manufactured by the method of the present invention.
  • FIG. 3 to FIG. 9 are schematic diagrams illustrating a method for manufacturing a CMOS transistor according to a preferred embodiment of the present invention.
  • FIG. 10 is a flow diagram of the method of the present invention to manufacture the CMOS transistor for preventing Ge-out diffusion.
  • a semiconductor substrate 30 is provided, in which the semiconductor substrate 30 has at least a PMOS transistor 32 and an NMOS transistor 34 disposed thereon.
  • the NMOS transistor 34 is formed in a P well 36 disposed in the semiconductor substrate 30 .
  • the NMOS transistor 34 includes a gate structure 38 A formed on the surface of the semiconductor substrate 30 and a source/drain 40 disposed beside the gate structure 38 A.
  • the PMOS transistor 32 is formed in an N well 44 .
  • the PMOS transistor 32 includes a gate structure 38 B formed on the surface of the semiconductor substrate 30 and a source/drain 46 disposed beside the gate structure 38 B.
  • Each of the gate structure 38 A, 38 B includes a gate dielectric layer 50 , a gate 52 , and a cap layer 54 .
  • the gate dielectric layer 50 may include dielectric materials including silicon oxide, oxynitride, and silicon nitride; high-k dielectric materials including metal oxide, metal silicate, metal aluminate, and metal oxynitride; or combinations thereof.
  • the gate dielectric layer 50 may be formed by a thermal oxidation process, a nitridation process, or a chemical vapor deposition (CVD) process.
  • the gate 52 may use polysilicon, SiGe, metal, silicide, metal nitride, metal oxide, or combinations thereof as material.
  • the material of the cap layer 54 may include silicon oxide, oxynitride, silicon nitride, or silicon carbide (SiC).
  • a thermal oxide layer 56 and a spacer 58 are respectively formed on the sidewall of the gate structure 38 A, 38 B.
  • the spacers 58 may be a single-layered structure or a multi-layered structure.
  • the preferred material of the first spacers 58 may use silicon oxide, silicon nitride, oxynitride, or other adoptable dielectric material.
  • a plurality of isolation structures is disposed between the MOS transistors to prevent short-circuiting, such as shallow trench isolations 48 formed between the PMOS transistor 32 and the NMOS transistor 34 .
  • the CMOS transistor 30 has lightly doped drain 50 A, 50 B respectively disposed beside the gate structure 38 A, 38 B to prevent hot electron effect in the PMOS transistor 32 or the NMOS transistor 34 .
  • the source/drain 46 of the PMOS transistor 32 has Ge therein.
  • the source/drain 46 of the PMOS transistor 32 of the present embodiment is formed by several processes.
  • a patterned photoresist (not shown) is formed on the PMOS transistor 32 , and an etch process is performed to form at least a recess (not shown) on the surface of the semiconductor substrate 30 beside the gate structure 38 B of the PMOS transistor 32 .
  • a selective epitaxial growth process is performed to form a SiGe epitaxial layer in the recess, wherein the SiGe epitaxial layer has a greater lattice constant than that of the semiconductor substrate 30 , and is slightly extended approaching to the channel.
  • the SiGe epitaxial layer is slightly projected from the top surface of the semiconductor substrate 30 to compress the channel and to keep silicide formed in the following steps from the interface between the source/drain in a distance.
  • the top surface of the SiGe epitaxial layer may be substantially leveled with or lower than the top surface of semiconductor substrate 30 .
  • a heavy doped (P + ) implantation process is performed to implant P-type dopant, such as boron (B), into the SiGe epitaxial layer.
  • P-type dopant such as boron (B)
  • a mask (not shown) is formed covering the NMOS transistor 34 , and a carbon implantation process is performed to implant carbon into the source/drain 46 of the PMOS transistor 32 .
  • a carbon-doped layer 60 is formed in the top portion of the source/drain 46 , and the carbon-doped layer 60 has a thickness between 100 angstrom ( ⁇ ) and 500 ⁇ , preferably between 200 ⁇ and 300 ⁇ .
  • the implantation energy is determined by the depth of the dopant.
  • the preferred implantation energy of the carbon implantation process is approximately between 1 KeV and 5 KeV, and the implantation dosage is approximately between 10 13 atom/cm 2 and 10 16 atom/cm 2 .
  • the preferred implantation energy of the present embodiment is about 2 KeV, and the preferred implantation dosage is about 1.05 ⁇ 10 15 atom/cm 2 .
  • the carbon implantation of the present invention may also be performed on the NMOS transistor 32 simultaneously.
  • An annealing process is optionally performed using a furnace or a rapid thermal process (RTP) to activate the doped carbon and to repair the lattice structure of the semiconductor substrate 30 at approximately between 1000° C. and 1050° C.
  • RTP rapid thermal process
  • a self-aligned silicide process is performed to form silicide layer 62 on the surface of the source/drain 40 , 46 .
  • the silicide layer 62 may include nickel and platinum, and has a thickness between 50 ⁇ and 500 ⁇ , preferably between 100 ⁇ and 300 ⁇ .
  • the steps of the salicide process are well known, and will not be described in detail.
  • a first liner 64 and a tensile thin film 65 are respectively formed covering the PMOS transistor 32 , the NMOS transistor 34 and the semiconductor substrate 30 .
  • the tensile thin film 65 of the present invention is a multi-layered tensile thin film, and includes a buffered tensile thin film 66 and a high tensile thin film 68 .
  • the buffered tensile thin film has a lower tensile stress than that of the high tensile thin film.
  • a surface treatment is optional performed, i.e. an RTP or an UV curing process, to enhance the tensile strain of the tensile thin film 65 .
  • a second liner 70 is formed.
  • the spacers 58 disposed on the sidewall of the PMOS transistor 32 and the NMOS transistor 34 may be removed before the formation of the first liner 64 , the tensile thin film 65 , and the second liner 70 . Accordingly, the tensile thin film 65 may induce tensile stress into the channel region of the NMOS transistor 34 more effectively.
  • a first patterned photoresist 72 is formed on the NMOS transistor 34 after the second liner 70 is formed.
  • the formation of the first patterned photoresist 72 includes steps of coating the photoresist, an exposing process and a developing process to define the pattern.
  • An etch process is performed, such as an isotropic etch process, using the first patterned photoresist 72 as an etch mask to remove the buffered tensile thin film 66 , the high tensile thin film 68 , and the second liner 70 formed on the PMOS transistor 32 .
  • the first liner 64 acts as an etch stop layer and protects the PMOS transistor 32 during the etch process. As shown in FIG.
  • the first pattern photoresist 72 is removed and a high compressive thin film 74 is formed covering the PMOS transistor 32 and the NMOS transistor 34 .
  • the high compressive thin film 74 of the present embodiment is formed by another PECVD process, and other methods for depositing the high compressive thin film 74 are allowable.
  • a second patterned photoresist 76 is formed on the NMOS transistor 32 .
  • the formation of the second patterned photoresist 76 includes steps of: coating the photoresist, an exposing process and a developing process to define the pattern.
  • Another etch process is performed using the second patterned photoresist 76 as an etch mask to remove the exposed thin film, for instance, the high compressive thin film 74 and the second liner 70 disposed on the NMOS transistor 34 , and so that the high compressive thin film 74 disposed on the gate structure 38 B and the surface of the source/drain 46 of the PMOS 74 is protected.
  • the second patterned photoresist 76 disposed on the PMOS transistor 32 is removed, and therefore, the basic structure of a CMOS transistor 78 is formed by the method of the present invention.
  • the tensile thin film 65 disposed on the NMOS 34 and the high compressive thin film 74 disposed on the PMOS transistor 32 may act as a CESL of the CMOS transistor 78 .
  • an inter-layer dielectric (ILD) layer (not shown) and a patterned photoresist (not shown) are formed, and an anisotropic etching process is performed using the patterned photoresist as an etching mask to form a plurality of contact holes (not shown) in the ILD layer and the CESL (the tensile thin film 65 and the high compressive thin film 74 ).
  • the contact holes are the connections between the gate structures 38 A, 38 B or the sources/drains 40 , 46 of the PMOS transistor 32 and the NMOS transistor 34 with other electrical devices.
  • FIG. 10 is a flow diagram illustrating the method of manufacturing the CMOS transistor of the present invention. The steps of the present embodiment are illustrated as follows.
  • Step 100 A semiconductor substrate is provided.
  • the semiconductor substrate has at least a PMOS transistor and at least an NMOS transistor formed thereon.
  • the source/drain of the PMOS transistor is a SiGe epitaxial layer.
  • Step 102 A carbon implantation process is performed upon the source/drain of the PMOS transistor.
  • a carbon-doped layer is formed in the top portion of the source/drain of the PMOS transistor.
  • Step 104 A salicide process is performed to form a silicide layer on the respective source/drain of the PMOS transistor and the NMOS transistor.
  • Step 106 A tensile thin film is formed.
  • the tensile thin film includes a buffered tensile thin film and a high tensile thin film.
  • the high tensile thin film has a greater stress status than that of the buffered tensile thin film.
  • Step 108 A surface treatment is performed, such as an RTP or an UV curing process, to strengthen the stress status of the tensile thin film.
  • Step 110 A portion of the tensile thin film disposed on the PMOS transistor is removed.
  • Step 112 A high compressive thin film is formed covering the PMOS transistor and the NMOS transistor.
  • Step 114 A portion of the high compressive thin film disposed on the NMOS transistor is removed.
  • the tensile thin film 65 disposed on the PMOS transistor 78 may be preserved for simplifying steps of fabricating the CMOS transistor 78 of the present invention.
  • the formation of the high compressive thin film 78 on the PMOS transistor 32 is optional.
  • FIG. 11 is a SEM photo of the CMOS transistor 78 manufactured by the method of the present invention.
  • Ge-out diffusion occurs at the conventional CMOS transistor 10 and forms a plurality of black spots on the nickel silicide layer 26 shown in FIG. 2 .
  • no black spot is observed on the silicide 62 of the CMOS transistor 78 formed by the method of the present invention.
  • the present invention utilizing a carbon implantation process prior to the formation of the silicide, to implant carbon, which has a smaller radius than the silicon and is neutral, into the source/drain 46 of the PMOS transistor 32 , in which the source drain 46 of the PMOS transistor 32 comprises SiGe epitaxial layer.
  • the buffered tensile thin film 66 is formed between the high tensile thin film 68 and the silicide layer 62 .
  • the Ge-out diffusion is suppressed in proportion to the thickness of the buffered tensile thin film 66 .
  • thickness increase of the buffered tensile thin film 66 reduces the ion gain effect of the CMOS transistor 78 .
  • the present invention using the carbon implantation process to implant carbon as dopant into the lattice of the SiGe epitaxial layer for stabilizing the Ge atom in the SiGe epitaxial layer, reducing the thickness of the buffered tensile thin film 66 and maintaining the ion gain effect of the CMOS transistor 78 . And accordingly, the present invention combines the carbon-doped layer 60 formed by the carbon implantation process and the buffered tensile thin film 66 to prevent Ge-out diffusion.
  • other amorphous dopants i.e. Ar, Ge, In, which have a smaller radius than the silicon and are neutral, are useless for preventing Ge-out diffusion.
  • the formation of the carbon-doped layer in the top portion of the source/drain 46 of the 32 is not limited to be formed prior to the formation of the silicide, which are illustrated in the preferred embodiment.
  • the carbon-doped layer may be formed during the formation of the source/drain 46 of the PMOS transistor 32 .
  • a carbon implantation process is performed prior to the heavy doped implantation process for implanting P-type dopants into the semiconductor substrate 30 .
  • the carbon implantation process may be performed after the heavy doped implantation process.
  • the carbon-doped layer may be formed during the selective epitaxial growth process for forming the SiGe epitaxial layer.
  • carbon may be added as material of the epitaxial layer during the selective epitaxial growth process.
  • the concentration of the carbon may be increased during the formation of the SiGe epitaxial layer. Therefore, a carbon-doped layer is formed, in which the concentration of the carbon is higher in the later formed SiGe epitaxial layer than in the prior formed SiGe epitaxial layer.
  • a buffered tensile thin film and a high tensile thin film are formed on the CMOS transistor to prevent Ge-out diffusion.
  • another carbon implantation process may be performed on the SiGe epitaxial layer having the carbon-doped layer thereof to increase the concentration of the carbon in the top portion of the source/drain of the PMOS transistor.
  • the present invention utilizes a carbon implantation process to implant carbon into the top portion of the source/drain of the PMOS transistor, particularly to the portion approaching to the surface of the source/drain of the PMOS transistor.
  • the carbon implantation process is performed before the salicide process.
  • a silicide layer and a CESL having tensile strain or compressive strain are formed on the NMOS transistor and the PMOS transistor. Therefore, the CMOS transistor of the present invention is formed.
  • the carbon-doped layer may act as a barrier layer during several high temperature processes, such as the salicide process, the annealing process, and the RTP process.
  • the CMOS transistor is silicon cap-free, and therefore, the SiGe epitaxial layer has a facet near the spacer for providing a better compressive strain into the channel region of the PMOS for increasing carrier mobility thereof.

Abstract

A CMOS transistor and a method for manufacturing the same are disclosed. A semiconductor substrate having at least a PMOS transistor and an NMOS transistor is provided. The source/drain of the PMOS transistor comprises SiGe epitaxial layer. A carbon implantation process is performed to form a carbon-doped layer in the top portion of the source/drain of the PMOS transistor. A silicide layer is formed on the source/drain. A CESL is formed on the PMOS transistor and the NMOS transistor. The formation of the carbon-doped layer is capable of preventing Ge out-diffusion.

Description

    BACKGROUND OF THE INVENTION
  • 1. Field of the Invention
  • The present invention is related to a CMOS transistor and a method for manufacturing the same, and particularly, to a CMOS transistor capable of preventing Ge out-diffusion and a method for manufacturing the same.
  • 2. Description of the Prior Art
  • Industrial circles are used to reducing device dimensions to improve the performance of metal-oxide semiconductor (MOS) transistors. However, this method has encountered difficulties with high-expenses and technical bottlenecks in recent years. For these reasons, the industrial circles seek other methods to improve MOS transistor performance. And accordingly, a popular method is to utilize the material characteristics to cause strain effect on MOS transistors.
  • In order to increase the driving current of a complementary metal-oxide semiconductor (CMOS) transistor including a p-type MOS (PMOS) transistor and an n-type MOS (NMOS) transistor, the industrial circles develop a strained-silicon technique, which uses unique processes or lattice constant discrepancy to increase driving current. The strained-silicon technique substantially includes a substrate-strained based method and a process-induced strain based method. The substrate-strained based system is performed with a strained-silicon substrate or a selective epitaxial growth process that results in lattice constant discrepancy. The process-induced strain based method is performed with several unique processes to form a strained thin film upon a surface of the MOS transistor that exert tensile stress or compressive stress upon the MOS transistor. Both of the strained-silicon techniques introduce strain into the channel region and reduce carrier mobile resistance thereby improving carrier mobility and MOS transistor performance.
  • Please refer to FIG. 1, which is a schematic diagram illustrating a conventional CMOS transistor 10. The CMOS transistor 10 includes a PMOS transistor 12 and an NMOS transistor 14 disposed on a substrate 16. A plurality of shallow trench isolations (STI) 30 is disposed on the substrate 16 to prevent short-circuiting between the PMOS transistor 12 and the NMOS transistor 14. The NMOS transistor 14 having a source/drain 20A and a gate structure 22A is disposed on a P well 18 formed in the substrate 16. The PMOS transistor 12 having a source/drain 20B and a gate structure 22B is disposed on an N well 24 formed in the substrate 16. The source/drain 20B of the PMOS transistor 12 is a silicon germanium (SiGe) epitaxial layer. A compressive strain resulting from the lattice constant discrepancy of SiGe epitaxial layer is induced into the channel region of the PMOS transistor 12. Nickel silicide layers 26 are respectively formed on the surface of the source/ drain 20A, 20B for increasing the Ohmic contact capability between metals and the silicon substrate. In order to enhance carrier mobility of the channel region of the NMOS transistor 14, a high tensile thin film 28 is formed on the CMOS transistor 10. The high tensile thin film 28 is disposed covering the gate structure 22A, 22B and the source/ drain 20A, 20B. Thereafter, an UV curing process is performed by a UV radiation to enhance the tensile strain of the high tensile thin film 28 that results in elongating the distance of the lattice of the channel region positioned under the gate structure 22A of the NMOS transistor 14. Therefore, the NMOS transistor 14 has a higher driving current and a better electron mobility in the channel region.
  • Tensile strain of the high tensile thin film 28 is adjusted by the UV curing process for improving performance of NMOS transistor 14. However, the tensile strain results in Ge-out diffusion at the source/drain 20B of the PMOS transistor 12. As shown in FIG. 2, which is a SEM photo of the CMOS transistor 10, a plurality of black spots are formed on the surface of the nickel silicide layer 26, in which is the evidence of the Ge-out diffusion. Besides, Ge-out diffusion results in silicide agglomeration that increases resistance, reduces the concentration of the Ge in the SiGe epitaxial layer, and affects the accuracy of the threshold voltage of the PMOS transistor 12.
  • SUMMARY OF THE INVENTION
  • In order to overcome the issue of Ge-out diffusion, the present invention provides a method of manufacturing a CMOS transistor, which is capable of preventing Ge-out diffusion. Initially, a semiconductor substrate having at least a PMOS transistor and an NMOS transistor is provided. The source/drain of the PMOS transistor has Ge therein. A carbon-doped layer is formed at the top portion of the source/drain of the PMOS transistor. A self-aligned silicide process is performed. At least a tensile thin film is formed covering the semiconductor substrate, the NMOS transistor, and the PMOS transistor. A surface treatment is performed upon the tensile thin film.
  • In addition, the present invention further discloses a CMOS transistor. The CMOS transistor has a semiconductor substrate, at least a NMOS transistor and at least a PMOS transistor disposed on the semiconductor substrate, and a CESL disposed on the PMOS transistor and the NMOS transistor. The PMOS transistor has a source/drain, which includes Ge therein. A carbon-doped layer is disposed in the top portion of the source/drain of the PMOS transistor, and so that, the CMOS transistor of the present invention is capable of preventing Ge-out diffusion.
  • The CMOS transistor formed by the method of the present invention has a carbon-doped layer in the top portion of the source/drain of the PMOS transistor. Therefore, the concentration of the Ge dopant is maintained in the source/drain of the PMOS transistor and the issue of Ge-out diffusion is solved.
  • These and other objectives of the present invention will no doubt become obvious to those of ordinary skill in the art after reading the following detailed description of the preferred embodiment that is illustrated in the various figures and drawings.
  • BRIEF DESCRIPTION OF THE DRAWINGS
  • FIG. 1 is a schematic diagram illustrating a conventional CMOS transistor.
  • FIG. 2 is a SEM photo of the conventional CMOS transistor.
  • FIG. 3 to FIG. 9 are schematic diagrams illustrating a method for manufacturing a CMOS transistor according to a preferred embodiment of the present invention.
  • FIG. 10 is a flow diagram of the method of the present invention to manufacture the CMOS transistor for preventing Ge-out diffusion.
  • FIG. 11 is a SEM photo of the CMOS transistor manufactured by the method of the present invention.
  • DETAILED DESCRIPTION
  • Please refer to FIG. 3 through FIG. 10. FIG. 3 to FIG. 9 are schematic diagrams illustrating a method for manufacturing a CMOS transistor according to a preferred embodiment of the present invention. FIG. 10 is a flow diagram of the method of the present invention to manufacture the CMOS transistor for preventing Ge-out diffusion. Please refer to FIG. 3. A semiconductor substrate 30 is provided, in which the semiconductor substrate 30 has at least a PMOS transistor 32 and an NMOS transistor 34 disposed thereon. The NMOS transistor 34 is formed in a P well 36 disposed in the semiconductor substrate 30. The NMOS transistor 34 includes a gate structure 38A formed on the surface of the semiconductor substrate 30 and a source/drain 40 disposed beside the gate structure 38A. The PMOS transistor 32 is formed in an N well 44. The PMOS transistor 32 includes a gate structure 38B formed on the surface of the semiconductor substrate 30 and a source/drain 46 disposed beside the gate structure 38B.
  • Each of the gate structure 38A, 38B includes a gate dielectric layer 50, a gate 52, and a cap layer 54. The gate dielectric layer 50 may include dielectric materials including silicon oxide, oxynitride, and silicon nitride; high-k dielectric materials including metal oxide, metal silicate, metal aluminate, and metal oxynitride; or combinations thereof. The gate dielectric layer 50 may be formed by a thermal oxidation process, a nitridation process, or a chemical vapor deposition (CVD) process. The gate 52 may use polysilicon, SiGe, metal, silicide, metal nitride, metal oxide, or combinations thereof as material. The material of the cap layer 54 may include silicon oxide, oxynitride, silicon nitride, or silicon carbide (SiC). A thermal oxide layer 56 and a spacer 58 are respectively formed on the sidewall of the gate structure 38A, 38B. The spacers 58 may be a single-layered structure or a multi-layered structure. The preferred material of the first spacers 58 may use silicon oxide, silicon nitride, oxynitride, or other adoptable dielectric material. In addition, a plurality of isolation structures is disposed between the MOS transistors to prevent short-circuiting, such as shallow trench isolations 48 formed between the PMOS transistor 32 and the NMOS transistor 34. The CMOS transistor 30 has lightly doped drain 50A, 50B respectively disposed beside the gate structure 38A, 38B to prevent hot electron effect in the PMOS transistor 32 or the NMOS transistor 34.
  • In order to enhance the carrier mobility of the channel region of the PMOS transistor disposed under the gate structure 38, the source/drain 46 of the PMOS transistor 32 has Ge therein. The source/drain 46 of the PMOS transistor 32 of the present embodiment is formed by several processes. A patterned photoresist (not shown) is formed on the PMOS transistor 32, and an etch process is performed to form at least a recess (not shown) on the surface of the semiconductor substrate 30 beside the gate structure 38B of the PMOS transistor 32. A selective epitaxial growth process is performed to form a SiGe epitaxial layer in the recess, wherein the SiGe epitaxial layer has a greater lattice constant than that of the semiconductor substrate 30, and is slightly extended approaching to the channel. Preferably, the SiGe epitaxial layer is slightly projected from the top surface of the semiconductor substrate 30 to compress the channel and to keep silicide formed in the following steps from the interface between the source/drain in a distance. The top surface of the SiGe epitaxial layer may be substantially leveled with or lower than the top surface of semiconductor substrate 30. A heavy doped (P+) implantation process is performed to implant P-type dopant, such as boron (B), into the SiGe epitaxial layer. Thus, the formation of the source/drain 46 of the PMOS transistor 34 is accomplished.
  • As shown in FIG. 4, a mask (not shown) is formed covering the NMOS transistor 34, and a carbon implantation process is performed to implant carbon into the source/drain 46 of the PMOS transistor 32. A carbon-doped layer 60 is formed in the top portion of the source/drain 46, and the carbon-doped layer 60 has a thickness between 100 angstrom (Å) and 500 Å, preferably between 200 Å and 300 Å. The implantation energy is determined by the depth of the dopant. The preferred implantation energy of the carbon implantation process is approximately between 1 KeV and 5 KeV, and the implantation dosage is approximately between 1013 atom/cm2 and 1016 atom/cm2. The preferred implantation energy of the present embodiment is about 2 KeV, and the preferred implantation dosage is about 1.05×1015 atom/cm2. In addition, the carbon implantation of the present invention may also be performed on the NMOS transistor 32 simultaneously. An annealing process is optionally performed using a furnace or a rapid thermal process (RTP) to activate the doped carbon and to repair the lattice structure of the semiconductor substrate 30 at approximately between 1000° C. and 1050° C. Thereafter, a self-aligned silicide process (salicide process) is performed to form silicide layer 62 on the surface of the source/ drain 40, 46. The silicide layer 62 may include nickel and platinum, and has a thickness between 50 Å and 500 Å, preferably between 100 Å and 300 Å. The steps of the salicide process are well known, and will not be described in detail.
  • As shown in FIG. 5, a first liner 64 and a tensile thin film 65 are respectively formed covering the PMOS transistor 32, the NMOS transistor 34 and the semiconductor substrate 30. The tensile thin film 65 of the present invention is a multi-layered tensile thin film, and includes a buffered tensile thin film 66 and a high tensile thin film 68. The buffered tensile thin film has a lower tensile stress than that of the high tensile thin film. A surface treatment is optional performed, i.e. an RTP or an UV curing process, to enhance the tensile strain of the tensile thin film 65. Then, a second liner 70 is formed. In addition, the spacers 58 disposed on the sidewall of the PMOS transistor 32 and the NMOS transistor 34 may be removed before the formation of the first liner 64, the tensile thin film 65, and the second liner 70. Accordingly, the tensile thin film 65 may induce tensile stress into the channel region of the NMOS transistor 34 more effectively.
  • Please refer to FIG. 6, in which a first patterned photoresist 72 is formed on the NMOS transistor 34 after the second liner 70 is formed. The formation of the first patterned photoresist 72 includes steps of coating the photoresist, an exposing process and a developing process to define the pattern. An etch process is performed, such as an isotropic etch process, using the first patterned photoresist 72 as an etch mask to remove the buffered tensile thin film 66, the high tensile thin film 68, and the second liner 70 formed on the PMOS transistor 32. The first liner 64 acts as an etch stop layer and protects the PMOS transistor 32 during the etch process. As shown in FIG. 7, the first pattern photoresist 72 is removed and a high compressive thin film 74 is formed covering the PMOS transistor 32 and the NMOS transistor 34. The high compressive thin film 74 of the present embodiment is formed by another PECVD process, and other methods for depositing the high compressive thin film 74 are allowable.
  • As shown in FIG. 8, a second patterned photoresist 76 is formed on the NMOS transistor 32. The formation of the second patterned photoresist 76 includes steps of: coating the photoresist, an exposing process and a developing process to define the pattern. Another etch process is performed using the second patterned photoresist 76 as an etch mask to remove the exposed thin film, for instance, the high compressive thin film 74 and the second liner 70 disposed on the NMOS transistor 34, and so that the high compressive thin film 74 disposed on the gate structure 38B and the surface of the source/drain 46 of the PMOS 74 is protected.
  • As shown in FIG. 9, the second patterned photoresist 76 disposed on the PMOS transistor 32 is removed, and therefore, the basic structure of a CMOS transistor 78 is formed by the method of the present invention. The tensile thin film 65 disposed on the NMOS 34 and the high compressive thin film 74 disposed on the PMOS transistor 32 may act as a CESL of the CMOS transistor 78. Furthermore, an inter-layer dielectric (ILD) layer (not shown) and a patterned photoresist (not shown) are formed, and an anisotropic etching process is performed using the patterned photoresist as an etching mask to form a plurality of contact holes (not shown) in the ILD layer and the CESL (the tensile thin film 65 and the high compressive thin film 74). The contact holes are the connections between the gate structures 38A, 38B or the sources/drains 40, 46 of the PMOS transistor 32 and the NMOS transistor 34 with other electrical devices.
  • Please refer to FIG. 10, which is a flow diagram illustrating the method of manufacturing the CMOS transistor of the present invention. The steps of the present embodiment are illustrated as follows.
  • Step 100: A semiconductor substrate is provided. The semiconductor substrate has at least a PMOS transistor and at least an NMOS transistor formed thereon. The source/drain of the PMOS transistor is a SiGe epitaxial layer.
  • Step 102: A carbon implantation process is performed upon the source/drain of the PMOS transistor. A carbon-doped layer is formed in the top portion of the source/drain of the PMOS transistor.
  • Step 104: A salicide process is performed to form a silicide layer on the respective source/drain of the PMOS transistor and the NMOS transistor.
  • Step 106: A tensile thin film is formed. The tensile thin film includes a buffered tensile thin film and a high tensile thin film. The high tensile thin film has a greater stress status than that of the buffered tensile thin film.
  • Step 108: A surface treatment is performed, such as an RTP or an UV curing process, to strengthen the stress status of the tensile thin film.
  • Step 110: A portion of the tensile thin film disposed on the PMOS transistor is removed.
  • Step 112: A high compressive thin film is formed covering the PMOS transistor and the NMOS transistor.
  • Step 114: A portion of the high compressive thin film disposed on the NMOS transistor is removed.
  • Additionally, the tensile thin film 65 disposed on the PMOS transistor 78 may be preserved for simplifying steps of fabricating the CMOS transistor 78 of the present invention. The formation of the high compressive thin film 78 on the PMOS transistor 32 is optional.
  • Please refer to FIG. 11, which is a SEM photo of the CMOS transistor 78 manufactured by the method of the present invention. Referring to FIG. 2 and FIG. 11, Ge-out diffusion occurs at the conventional CMOS transistor 10 and forms a plurality of black spots on the nickel silicide layer 26 shown in FIG. 2. In contrast, no black spot is observed on the silicide 62 of the CMOS transistor 78 formed by the method of the present invention.
  • As described above, the present invention utilizing a carbon implantation process prior to the formation of the silicide, to implant carbon, which has a smaller radius than the silicon and is neutral, into the source/drain 46 of the PMOS transistor 32, in which the source drain 46 of the PMOS transistor 32 comprises SiGe epitaxial layer. Additionally, the buffered tensile thin film 66 is formed between the high tensile thin film 68 and the silicide layer 62. According to our experiment, the Ge-out diffusion is suppressed in proportion to the thickness of the buffered tensile thin film 66. However, thickness increase of the buffered tensile thin film 66 reduces the ion gain effect of the CMOS transistor 78. Therefore, the present invention using the carbon implantation process to implant carbon as dopant into the lattice of the SiGe epitaxial layer for stabilizing the Ge atom in the SiGe epitaxial layer, reducing the thickness of the buffered tensile thin film 66 and maintaining the ion gain effect of the CMOS transistor 78. And accordingly, the present invention combines the carbon-doped layer 60 formed by the carbon implantation process and the buffered tensile thin film 66 to prevent Ge-out diffusion. However, other amorphous dopants, i.e. Ar, Ge, In, which have a smaller radius than the silicon and are neutral, are useless for preventing Ge-out diffusion.
  • Furthermore, the formation of the carbon-doped layer in the top portion of the source/drain 46 of the 32 is not limited to be formed prior to the formation of the silicide, which are illustrated in the preferred embodiment. The carbon-doped layer may be formed during the formation of the source/drain 46 of the PMOS transistor 32. For instance, a carbon implantation process is performed prior to the heavy doped implantation process for implanting P-type dopants into the semiconductor substrate 30. In addition, the carbon implantation process may be performed after the heavy doped implantation process. On the other hand, the carbon-doped layer may be formed during the selective epitaxial growth process for forming the SiGe epitaxial layer. For instance, carbon may be added as material of the epitaxial layer during the selective epitaxial growth process. The concentration of the carbon may be increased during the formation of the SiGe epitaxial layer. Therefore, a carbon-doped layer is formed, in which the concentration of the carbon is higher in the later formed SiGe epitaxial layer than in the prior formed SiGe epitaxial layer. After the SiGe epitaxial layer having the carbon-doped layer is formed, a buffered tensile thin film and a high tensile thin film are formed on the CMOS transistor to prevent Ge-out diffusion. In addition, another carbon implantation process may be performed on the SiGe epitaxial layer having the carbon-doped layer thereof to increase the concentration of the carbon in the top portion of the source/drain of the PMOS transistor.
  • According to afore-mentioned embodiment, the present invention utilizes a carbon implantation process to implant carbon into the top portion of the source/drain of the PMOS transistor, particularly to the portion approaching to the surface of the source/drain of the PMOS transistor. The carbon implantation process is performed before the salicide process. After that, a silicide layer and a CESL having tensile strain or compressive strain are formed on the NMOS transistor and the PMOS transistor. Therefore, the CMOS transistor of the present invention is formed. The carbon-doped layer may act as a barrier layer during several high temperature processes, such as the salicide process, the annealing process, and the RTP process. In addition, the CMOS transistor is silicon cap-free, and therefore, the SiGe epitaxial layer has a facet near the spacer for providing a better compressive strain into the channel region of the PMOS for increasing carrier mobility thereof.
  • Those skilled in the art will readily observe that numerous modifications and alterations of the device and method may be made while retaining the teachings of the invention.

Claims (20)

1. A method of forming a CMOS transistor, comprising:
providing a semiconductor substrate having at least an NMOS transistor and at least a PMOS transistor thereon, and a source/drain of the PMOS transistor comprising germanium (Ge);
forming a carbon-doped layer in the top portion of the source/drain of the PMOS transistor;
performing a self-aligned silicide process;
forming at least a tensile thin film covering the semiconductor substrate, the NMOS transistor, and the PMOS transistor; and
performing a surface treatment on the tensile thin film.
2. The method of claim 1, wherein the carbon-doped layer is formed by a carbon implantation process.
3. The method of claim 2, wherein the carbon implantation process is performed with an implantation energy between 1 KeV and 5 KeV, and with an implantation dosage between 1013 atom/cm2 and 1016 atom/cm2.
4. The method of claim 2, wherein the source/drain of the PMOS transistor is formed comprising a step of a heavy doped implantation process to implant P-type dopant into the semiconductor substrate, and the carbon implantation process is performed before the heavy doped implantation process.
5. The method of claim 2, wherein the source/drain of the PMOS transistor is formed comprising a step of a heavy doped implantation process to implant P-type dopant into the semiconductor substrate, and the carbon implantation process is performed after the heavy doped implantation process.
6. The method of claim 1, wherein the source/drain of the PMOS transistor is formed comprising steps of:
performing a etch process to form at least a recess on the surface of the semiconductor substrate in the PMOS transistor; and
performing a selective epitaxial growth process to form a SiGe epitaxial layer in the recess, wherein the carbon-doped layer is formed during the selective epitaxial growth process.
7. The method of claim 6, wherein the selective growth process comprises carbon as material.
8. The method of claim 7, wherein the concentration of the carbon is increased during the formation of the SiGe epitaxial layer.
9. The method of claim 1, wherein the surface treatment comprises a rapid thermal process (RTP) or an UV curing process.
10. The method of claim 1, wherein the tensile thin film comprises a multi-layered tensile thin film.
11. The method of claim 10, wherein the multi-layered tensile thin film comprises a buffered tensile thin film and a high tensile thin film, and the buffered tensile thin film has a lower tensile stress than that of the high tensile thin film.
12. The method of claim 1, further comprising forming a high compressive thin film covering the PMOS transistor after the tensile thin film is formed.
13. A CMOS transistor, comprising:
a semiconductor substrate;
at least an NMOS transistor disposed on the semiconductor substrate, the NMOS transistor comprising a P well , a gate structure disposed on a surface of the P well, and a source/drain beside the gate structure;
at least a PMOS transistor disposed on the semiconductor substrate, the PMOS transistor comprising an N well, a gate structure disposed on a surface of the N well, and a source/drain beside the gate structure, wherein the source/drain of the PMOS transistor comprises a carbon-doped layer in the top portion thereof; and
a contact hole etch stop layer (CESL) disposed on the NMOS transistor and the PMOS transistor.
14. The CMOS transistor of claim 13, wherein the source/drain of the PMOS transistor comprises Ge.
15. The CMOS transistor of claim 14, wherein the source/drain of the PMOS transistor comprises a SiGe epitaxial layer.
16. The CMOS transistor of claim 13, wherein the carbon-doped layer has a thickness between 100 angstrom (Å) and 500 Å.
17. The CMOS transistor of claim 13, wherein a salicide layer is disposed on each of the source/drain, and the silicide layer has a thickness between 50 Å and 500 Å.
18. The CMOS transistor of claim 10, wherein a portion of the CESL disposed on the NMOS comprises a tensile thin film, and the other portion of the CESL disposed on the PMOS comprises a high compressive thin film.
19. The CMOS transistor of claim 18, wherein the tensile thin film comprises a multi-layered tensile thin film.
20. The CMOS transistor of claim 19, wherein the multi-layered tensile thin film comprises a buffered tensile thin film and a high tensile thin film, and the buffered tensile thin film has a lower tensile stress than that of the high tensile thin film.
US12/168,062 2008-07-03 2008-07-03 Cmos transistor and the method for manufacturing the same Abandoned US20100001317A1 (en)

Priority Applications (2)

Application Number Priority Date Filing Date Title
US12/168,062 US20100001317A1 (en) 2008-07-03 2008-07-03 Cmos transistor and the method for manufacturing the same
US14/060,568 US9502305B2 (en) 2008-07-03 2013-10-22 Method for manufacturing CMOS transistor

Applications Claiming Priority (1)

Application Number Priority Date Filing Date Title
US12/168,062 US20100001317A1 (en) 2008-07-03 2008-07-03 Cmos transistor and the method for manufacturing the same

Related Child Applications (1)

Application Number Title Priority Date Filing Date
US14/060,568 Division US9502305B2 (en) 2008-07-03 2013-10-22 Method for manufacturing CMOS transistor

Publications (1)

Publication Number Publication Date
US20100001317A1 true US20100001317A1 (en) 2010-01-07

Family

ID=41463691

Family Applications (2)

Application Number Title Priority Date Filing Date
US12/168,062 Abandoned US20100001317A1 (en) 2008-07-03 2008-07-03 Cmos transistor and the method for manufacturing the same
US14/060,568 Active 2029-04-18 US9502305B2 (en) 2008-07-03 2013-10-22 Method for manufacturing CMOS transistor

Family Applications After (1)

Application Number Title Priority Date Filing Date
US14/060,568 Active 2029-04-18 US9502305B2 (en) 2008-07-03 2013-10-22 Method for manufacturing CMOS transistor

Country Status (1)

Country Link
US (2) US20100001317A1 (en)

Cited By (47)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090085075A1 (en) * 2007-08-24 2009-04-02 Samsung Electronics Co., Ltd Method of fabricating mos transistor and mos transistor fabricated thereby
US20090152622A1 (en) * 2007-11-15 2009-06-18 Hiroshi Itokawa Semiconductor device
US20100084712A1 (en) * 2008-10-03 2010-04-08 Texas Instruments Inc. Multiple spacer and carbon implant comprising process and semiconductor devices therefrom
US20100171181A1 (en) * 2009-01-07 2010-07-08 Samsung Electronics Co., Ltd. Method of forming a semiconductor device having an epitaxial source/drain
US20120161210A1 (en) * 2010-12-28 2012-06-28 Globalfoundries Inc. Embedding Metal Silicide Contact Regions Reliably Into Highly Doped Drain and Source Regions by a Stop Implantation
US20120241816A1 (en) * 2011-03-21 2012-09-27 GLOBALFOUNDRIES Dresden Module One Limited Liability Company & Co., KG Stabilization of Metal Silicides in PFET Transistors by Incorporation of Stabilizing Species in a Si/Ge Semiconductor Material
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US20130240956A1 (en) * 2012-03-14 2013-09-19 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US20130273722A1 (en) * 2012-04-12 2013-10-17 Commissariat A L'energie Atomique Et Aux Ene Alt Contact on a heterogeneous semiconductor substrate
CN103378130A (en) * 2012-04-20 2013-10-30 联华电子股份有限公司 Semiconductor structure and manufacturing process thereof
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8835265B1 (en) * 2012-06-18 2014-09-16 Altera Corporation High-k dielectric device and process
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8835240B2 (en) * 2012-03-05 2014-09-16 United Microelectronics Corporation Method for fabricating semiconductor device
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US20150155173A1 (en) * 2013-12-04 2015-06-04 Canon Kabushiki Kaisha Method for manufacturing semiconductor device
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US20170098707A1 (en) * 2015-10-06 2017-04-06 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US20170250089A1 (en) * 2016-02-26 2017-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method of the same
US10056382B2 (en) * 2016-10-19 2018-08-21 International Business Machines Corporation Modulating transistor performance
US20200119194A1 (en) * 2009-06-12 2020-04-16 Sony Corporation Semiconductor device having curved gate electrode aligned with curved side-wall insulating film and stress-introducing layer between channel region and source and drain regions

Families Citing this family (2)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
CN109087864B (en) * 2017-06-14 2021-10-15 中芯国际集成电路制造(上海)有限公司 Semiconductor device and method of forming the same
US10699960B2 (en) * 2018-06-27 2020-06-30 Taiwan Semiconductor Manufacturing Co., Ltd. Methods for improving interlayer dielectric layer topography

Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050079692A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US20080128806A1 (en) * 2006-12-01 2008-06-05 International Business Machines Corporation Low defect si:c layer with retrograde carbon profile
US7402872B2 (en) * 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US20080311711A1 (en) * 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts
US7655984B2 (en) * 2007-06-12 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with discontinuous CESL structure
US7696578B2 (en) * 2006-02-08 2010-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Selective CESL structure for CMOS application

Family Cites Families (18)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JPH09321304A (en) 1996-03-22 1997-12-12 Seiko Epson Corp Semiconductor device having mos elements and manufacture thereof
JP3389075B2 (en) 1997-10-01 2003-03-24 株式会社東芝 Method for manufacturing semiconductor device
US6187655B1 (en) 1999-08-16 2001-02-13 Taiwan Semiconductor Manufacturing Company Method for performing a pre-amorphization implant (PAI) which provides reduced resist protect oxide damage and reduced junction leakage
US6509264B1 (en) * 2000-03-30 2003-01-21 Chartered Semiconductor Manufacturing Ltd. Method to form self-aligned silicide with reduced sheet resistance
US6777275B1 (en) 2000-11-15 2004-08-17 Advanced Micro Devices, Inc. Single anneal for dopant activation and silicide formation
US7112495B2 (en) 2003-08-15 2006-09-26 Taiwan Semiconductor Manufacturing Company, Ltd. Structure and method of a strained channel transistor and a second semiconductor component in an integrated circuit
US6849527B1 (en) * 2003-10-14 2005-02-01 Advanced Micro Devices Strained silicon MOSFET having improved carrier mobility, strained silicon CMOS device, and methods of their formation
US7303949B2 (en) 2003-10-20 2007-12-04 International Business Machines Corporation High performance stress-enhanced MOSFETs using Si:C and SiGe epitaxial source/drain and method of manufacture
US7545001B2 (en) 2003-11-25 2009-06-09 Taiwan Semiconductor Manufacturing Company Semiconductor device having high drive current and method of manufacture therefor
US7645687B2 (en) 2005-01-20 2010-01-12 Chartered Semiconductor Manufacturing, Ltd. Method to fabricate variable work function gates for FUSI devices
JP2006351581A (en) 2005-06-13 2006-12-28 Fujitsu Ltd Manufacturing method of semiconductor device
KR101155097B1 (en) * 2005-08-24 2012-06-11 삼성전자주식회사 Fabricating method for semiconductor device and semiconductor device fabricated by the same
US7384853B2 (en) 2005-08-25 2008-06-10 United Microelectronics Corp. Method of performing salicide processes on MOS transistors
US20070166936A1 (en) 2006-01-19 2007-07-19 Po-Chao Tsao Pre-amorphization implantation process and salicide process
US20080124858A1 (en) * 2006-08-07 2008-05-29 Bich-Yen Nguyen Selective stress relaxation by amorphizing implant in strained silicon on insulator integrated circuit
US8835263B2 (en) * 2007-02-21 2014-09-16 Texas Instruments Incorporated Formation of a selective carbon-doped epitaxial cap layer on selective epitaxial SiGe
US20080242032A1 (en) * 2007-03-29 2008-10-02 Texas Instruments Incorporated Carbon-Doped Epitaxial SiGe
US20080283926A1 (en) * 2007-05-18 2008-11-20 Texas Instruments Incorporated Method for integrating silicon germanium and carbon doped silicon within a strained cmos flow

Patent Citations (6)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20050079692A1 (en) * 2003-10-10 2005-04-14 Applied Materials, Inc. Methods to fabricate MOSFET devices using selective deposition process
US7402872B2 (en) * 2004-11-18 2008-07-22 Intel Corporation Method for forming an integrated circuit
US7696578B2 (en) * 2006-02-08 2010-04-13 Taiwan Semiconductor Manufacturing Company, Ltd. Selective CESL structure for CMOS application
US20080128806A1 (en) * 2006-12-01 2008-06-05 International Business Machines Corporation Low defect si:c layer with retrograde carbon profile
US7655984B2 (en) * 2007-06-12 2010-02-02 Taiwan Semiconductor Manufacturing Company, Ltd. Semiconductor device with discontinuous CESL structure
US20080311711A1 (en) * 2007-06-13 2008-12-18 Roland Hampp Gapfill for metal contacts

Cited By (63)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US20090085075A1 (en) * 2007-08-24 2009-04-02 Samsung Electronics Co., Ltd Method of fabricating mos transistor and mos transistor fabricated thereby
US20090152622A1 (en) * 2007-11-15 2009-06-18 Hiroshi Itokawa Semiconductor device
US7986013B2 (en) * 2007-11-15 2011-07-26 Kabushiki Kaisha Toshiba Semiconductor device having SiGe semiconductor regions
US20100084712A1 (en) * 2008-10-03 2010-04-08 Texas Instruments Inc. Multiple spacer and carbon implant comprising process and semiconductor devices therefrom
US20100171181A1 (en) * 2009-01-07 2010-07-08 Samsung Electronics Co., Ltd. Method of forming a semiconductor device having an epitaxial source/drain
US10854751B2 (en) * 2009-06-12 2020-12-01 Sony Corporation Semiconductor device having curved gate electrode aligned with curved side-wall insulating film and stress-introducing layer between channel region and source and drain regions
US20200119194A1 (en) * 2009-06-12 2020-04-16 Sony Corporation Semiconductor device having curved gate electrode aligned with curved side-wall insulating film and stress-introducing layer between channel region and source and drain regions
US20120161210A1 (en) * 2010-12-28 2012-06-28 Globalfoundries Inc. Embedding Metal Silicide Contact Regions Reliably Into Highly Doped Drain and Source Regions by a Stop Implantation
US8877597B2 (en) * 2010-12-28 2014-11-04 Globalfoundries Inc. Embedding metal silicide contact regions reliably into highly doped drain and source regions by a stop implantation
US20120241816A1 (en) * 2011-03-21 2012-09-27 GLOBALFOUNDRIES Dresden Module One Limited Liability Company & Co., KG Stabilization of Metal Silicides in PFET Transistors by Incorporation of Stabilizing Species in a Si/Ge Semiconductor Material
US8466502B2 (en) 2011-03-24 2013-06-18 United Microelectronics Corp. Metal-gate CMOS device
US8592271B2 (en) 2011-03-24 2013-11-26 United Microelectronics Corp. Metal-gate CMOS device and fabrication method thereof
US8445363B2 (en) 2011-04-21 2013-05-21 United Microelectronics Corp. Method of fabricating an epitaxial layer
US8324059B2 (en) 2011-04-25 2012-12-04 United Microelectronics Corp. Method of fabricating a semiconductor structure
US8426284B2 (en) 2011-05-11 2013-04-23 United Microelectronics Corp. Manufacturing method for semiconductor structure
US8481391B2 (en) 2011-05-18 2013-07-09 United Microelectronics Corp. Process for manufacturing stress-providing structure and semiconductor device with such stress-providing structure
US8431460B2 (en) 2011-05-27 2013-04-30 United Microelectronics Corp. Method for fabricating semiconductor device
US8716750B2 (en) 2011-07-25 2014-05-06 United Microelectronics Corp. Semiconductor device having epitaxial structures
US8575043B2 (en) 2011-07-26 2013-11-05 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US8647941B2 (en) 2011-08-17 2014-02-11 United Microelectronics Corp. Method of forming semiconductor device
US8674433B2 (en) 2011-08-24 2014-03-18 United Microelectronics Corp. Semiconductor process
US8476169B2 (en) 2011-10-17 2013-07-02 United Microelectronics Corp. Method of making strained silicon channel semiconductor structure
US8853740B2 (en) 2011-10-17 2014-10-07 United Microelectronics Corp. Strained silicon channel semiconductor structure
US8691659B2 (en) 2011-10-26 2014-04-08 United Microelectronics Corp. Method for forming void-free dielectric layer
US8754448B2 (en) 2011-11-01 2014-06-17 United Microelectronics Corp. Semiconductor device having epitaxial layer
US8927376B2 (en) 2011-11-01 2015-01-06 United Microelectronics Corp. Semiconductor device and method of forming epitaxial layer
US8647953B2 (en) 2011-11-17 2014-02-11 United Microelectronics Corp. Method for fabricating first and second epitaxial cap layers
US8709930B2 (en) 2011-11-25 2014-04-29 United Microelectronics Corp. Semiconductor process
US8835240B2 (en) * 2012-03-05 2014-09-16 United Microelectronics Corporation Method for fabricating semiconductor device
US9312359B2 (en) 2012-03-12 2016-04-12 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9136348B2 (en) 2012-03-12 2015-09-15 United Microelectronics Corp. Semiconductor structure and fabrication method thereof
US9443970B2 (en) 2012-03-14 2016-09-13 United Microelectronics Corporation Semiconductor device with epitaxial structures and method for fabricating the same
US20130240956A1 (en) * 2012-03-14 2013-09-19 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US9202914B2 (en) * 2012-03-14 2015-12-01 United Microelectronics Corporation Semiconductor device and method for fabricating the same
US8664069B2 (en) 2012-04-05 2014-03-04 United Microelectronics Corp. Semiconductor structure and process thereof
US8884346B2 (en) 2012-04-05 2014-11-11 United Microelectronics Corp. Semiconductor structure
US20130273722A1 (en) * 2012-04-12 2013-10-17 Commissariat A L'energie Atomique Et Aux Ene Alt Contact on a heterogeneous semiconductor substrate
US9269570B2 (en) * 2012-04-12 2016-02-23 Commissariat a l'énergie atomique et aux énergies alternatives Contact on a heterogeneous semiconductor substrate
CN103378130A (en) * 2012-04-20 2013-10-30 联华电子股份有限公司 Semiconductor structure and manufacturing process thereof
US8866230B2 (en) 2012-04-26 2014-10-21 United Microelectronics Corp. Semiconductor devices
US8835243B2 (en) 2012-05-04 2014-09-16 United Microelectronics Corp. Semiconductor process
US8835265B1 (en) * 2012-06-18 2014-09-16 Altera Corporation High-k dielectric device and process
US8951876B2 (en) 2012-06-20 2015-02-10 United Microelectronics Corp. Semiconductor device and manufacturing method thereof
US9269811B2 (en) 2012-06-20 2016-02-23 United Microelectronics Corp. Spacer scheme for semiconductor device
US8796695B2 (en) 2012-06-22 2014-08-05 United Microelectronics Corp. Multi-gate field-effect transistor and process thereof
US8999793B2 (en) 2012-06-22 2015-04-07 United Microelectronics Corp. Multi-gate field-effect transistor process
US8710632B2 (en) 2012-09-07 2014-04-29 United Microelectronics Corp. Compound semiconductor epitaxial structure and method for fabricating the same
US9117925B2 (en) 2013-01-31 2015-08-25 United Microelectronics Corp. Epitaxial process
US8753902B1 (en) 2013-03-13 2014-06-17 United Microelectronics Corp. Method of controlling etching process for forming epitaxial structure
US9034705B2 (en) 2013-03-26 2015-05-19 United Microelectronics Corp. Method of forming semiconductor device
US9064893B2 (en) 2013-05-13 2015-06-23 United Microelectronics Corp. Gradient dopant of strained substrate manufacturing method of semiconductor device
US9076652B2 (en) 2013-05-27 2015-07-07 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US9263579B2 (en) 2013-05-27 2016-02-16 United Microelectronics Corp. Semiconductor process for modifying shape of recess
US8853060B1 (en) 2013-05-27 2014-10-07 United Microelectronics Corp. Epitaxial process
US8765546B1 (en) 2013-06-24 2014-07-01 United Microelectronics Corp. Method for fabricating fin-shaped field-effect transistor
US8895396B1 (en) 2013-07-11 2014-11-25 United Microelectronics Corp. Epitaxial Process of forming stress inducing epitaxial layers in source and drain regions of PMOS and NMOS structures
US8981487B2 (en) 2013-07-31 2015-03-17 United Microelectronics Corp. Fin-shaped field-effect transistor (FinFET)
US20150155173A1 (en) * 2013-12-04 2015-06-04 Canon Kabushiki Kaisha Method for manufacturing semiconductor device
US20170098707A1 (en) * 2015-10-06 2017-04-06 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US9985020B2 (en) * 2015-10-06 2018-05-29 United Microelectronics Corp. Semiconductor structure and manufacturing method thereof
US20170250089A1 (en) * 2016-02-26 2017-08-31 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method of the same
US9859129B2 (en) * 2016-02-26 2018-01-02 Taiwan Semiconductor Manufacturing Co., Ltd. Semiconductor device and manufacturing method of the same
US10056382B2 (en) * 2016-10-19 2018-08-21 International Business Machines Corporation Modulating transistor performance

Also Published As

Publication number Publication date
US9502305B2 (en) 2016-11-22
US20140038374A1 (en) 2014-02-06

Similar Documents

Publication Publication Date Title
US9502305B2 (en) Method for manufacturing CMOS transistor
US7875520B2 (en) Method of forming CMOS transistor
US9647111B2 (en) Advanced forming method and structure of local mechanical strained transistor
CN101295733B (en) Semiconductor device
US7332439B2 (en) Metal gate transistors with epitaxial source and drain regions
US7655987B2 (en) Method for fabricating ultra-high tensile-stressed film and strained-silicon transistors thereof
US7517766B2 (en) Method of removing a spacer, method of manufacturing a metal-oxide-semiconductor transistor device, and metal-oxide-semiconductor transistor device
CN100466195C (en) Method for removing clearance wall, metal semiconductor transistor parts and its making method
US7777284B2 (en) Metal-oxide-semiconductor transistor and method of forming the same
JP5091403B2 (en) Semiconductor device and manufacturing method thereof
WO2011079596A1 (en) Mosfet structure and the manufactring method thereof
US8318571B2 (en) Method for forming P-type lightly doped drain region using germanium pre-amorphous treatment
US20080206943A1 (en) Method of forming strained cmos transistor
KR100837555B1 (en) Semiconductor device and the fabrication method
US8987748B2 (en) Drain induced barrier lowering with anti-punch-through implant
JP2005136351A (en) Semiconductor device and manufacturing method therefor
US7883960B2 (en) Method of manufacturing semiconductor device
US7151032B2 (en) Methods of fabricating semiconductor devices
WO2010079544A1 (en) Semiconductor device and method for manufacturing same
US7994591B2 (en) Semiconductor device and method for manufacturing the same
US20080073715A1 (en) Method of manufacturing semiconductor device
US20080160710A1 (en) Method of fabricating mosfet device
KR101673920B1 (en) Method of manufacturing a semiconductor device
JP2004253778A (en) Semiconductor device and its manufacturing method
US7056814B2 (en) Methods of manufacturing a MOS transistor

Legal Events

Date Code Title Description
AS Assignment

Owner name: UNITED MICROELECTRONICS CORP., TAIWAN

Free format text: ASSIGNMENT OF ASSIGNORS INTEREST;ASSIGNORS:CHEN, YI-WEI;TSAI, TENG-CHUN;HUANG, CHIEN-CHUNG;AND OTHERS;REEL/FRAME:021196/0636

Effective date: 20080622

STCB Information on status: application discontinuation

Free format text: ABANDONED -- FAILURE TO RESPOND TO AN OFFICE ACTION