TWI392025B - 半導體裝置之製造方法及半導體裝置之製造裝置 - Google Patents

半導體裝置之製造方法及半導體裝置之製造裝置 Download PDF

Info

Publication number
TWI392025B
TWI392025B TW097106539A TW97106539A TWI392025B TW I392025 B TWI392025 B TW I392025B TW 097106539 A TW097106539 A TW 097106539A TW 97106539 A TW97106539 A TW 97106539A TW I392025 B TWI392025 B TW I392025B
Authority
TW
Taiwan
Prior art keywords
film
gas
wiring
layer
zrbn
Prior art date
Application number
TW097106539A
Other languages
English (en)
Other versions
TW200847284A (en
Inventor
Masanobu Hatanaka
Kanako Tsumagari
Michio Ishikawa
Original Assignee
Ulvac Inc
Priority date (The priority date is an assumption and is not a legal conclusion. Google has not performed a legal analysis and makes no representation as to the accuracy of the date listed.)
Filing date
Publication date
Priority claimed from JP2007048065A external-priority patent/JP2008211090A/ja
Priority claimed from JP2007047944A external-priority patent/JP2008211079A/ja
Application filed by Ulvac Inc filed Critical Ulvac Inc
Publication of TW200847284A publication Critical patent/TW200847284A/zh
Application granted granted Critical
Publication of TWI392025B publication Critical patent/TWI392025B/zh

Links

Classifications

    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/22Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the deposition of inorganic material, other than metallic material
    • C23C16/30Deposition of compounds, mixtures or solid solutions, e.g. borides, carbides, nitrides
    • CCHEMISTRY; METALLURGY
    • C23COATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; CHEMICAL SURFACE TREATMENT; DIFFUSION TREATMENT OF METALLIC MATERIAL; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL; INHIBITING CORROSION OF METALLIC MATERIAL OR INCRUSTATION IN GENERAL
    • C23CCOATING METALLIC MATERIAL; COATING MATERIAL WITH METALLIC MATERIAL; SURFACE TREATMENT OF METALLIC MATERIAL BY DIFFUSION INTO THE SURFACE, BY CHEMICAL CONVERSION OR SUBSTITUTION; COATING BY VACUUM EVAPORATION, BY SPUTTERING, BY ION IMPLANTATION OR BY CHEMICAL VAPOUR DEPOSITION, IN GENERAL
    • C23C16/00Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes
    • C23C16/44Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating
    • C23C16/455Chemical coating by decomposition of gaseous compounds, without leaving reaction products of surface material in the coating, i.e. chemical vapour deposition [CVD] processes characterised by the method of coating characterised by the method used for introducing gases into reaction chamber or for modifying gas flows in reaction chamber
    • C23C16/45523Pulsed gas flow or change of composition over time
    • C23C16/45525Atomic layer deposition [ALD]
    • C23C16/45527Atomic layer deposition [ALD] characterized by the ALD cycle, e.g. different flows or temperatures during half-reactions, unusual pulsing sequence, use of precursor mixtures or auxiliary reactants or activations
    • C23C16/45536Use of plasma, radiation or electromagnetic fields
    • C23C16/45542Plasma being used non-continuously during the ALD reactions
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/02Manufacture or treatment of semiconductor devices or of parts thereof
    • H01L21/04Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer
    • H01L21/18Manufacture or treatment of semiconductor devices or of parts thereof the devices having potential barriers, e.g. a PN junction, depletion layer or carrier concentration layer the devices having semiconductor bodies comprising elements of Group IV of the Periodic Table or AIIIBV compounds with or without impurities, e.g. doping materials
    • H01L21/28Manufacture of electrodes on semiconductor bodies using processes or apparatus not provided for in groups H01L21/20 - H01L21/268
    • H01L21/283Deposition of conductive or insulating materials for electrodes conducting electric current
    • H01L21/285Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation
    • H01L21/28506Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers
    • H01L21/28512Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table
    • H01L21/28556Deposition of conductive or insulating materials for electrodes conducting electric current from a gas or vapour, e.g. condensation of conductive layers on semiconductor bodies comprising elements of Group IV of the Periodic Table by chemical means, e.g. CVD, LPCVD, PECVD, laser CVD
    • H01L21/28562Selective deposition
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76801Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing
    • H01L21/76829Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers
    • H01L21/76831Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the dielectrics, e.g. smoothing characterised by the formation of thin functional dielectric layers, e.g. dielectric etch-stop, barrier, capping or liner layers in via holes or trenches, e.g. non-conductive sidewall liners
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • HELECTRICITY
    • H01ELECTRIC ELEMENTS
    • H01LSEMICONDUCTOR DEVICES NOT COVERED BY CLASS H10
    • H01L21/00Processes or apparatus adapted for the manufacture or treatment of semiconductor or solid state devices or of parts thereof
    • H01L21/70Manufacture or treatment of devices consisting of a plurality of solid state components formed in or on a common substrate or of parts thereof; Manufacture of integrated circuit devices or of parts thereof
    • H01L21/71Manufacture of specific parts of devices defined in group H01L21/70
    • H01L21/768Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics
    • H01L21/76838Applying interconnections to be used for carrying current between separate components within a device comprising conductors and dielectrics characterised by the formation and the after-treatment of the conductors
    • H01L21/76841Barrier, adhesion or liner layers
    • H01L21/76843Barrier, adhesion or liner layers formed in openings in a dielectric
    • H01L21/76849Barrier, adhesion or liner layers formed in openings in a dielectric the layer being positioned on top of the main fill metal
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/4913Assembling to base an electrical component, e.g., capacitor, etc.
    • Y10T29/49146Assembling to base an electrical component, e.g., capacitor, etc. with encapsulating, e.g., potting, etc.
    • YGENERAL TAGGING OF NEW TECHNOLOGICAL DEVELOPMENTS; GENERAL TAGGING OF CROSS-SECTIONAL TECHNOLOGIES SPANNING OVER SEVERAL SECTIONS OF THE IPC; TECHNICAL SUBJECTS COVERED BY FORMER USPC CROSS-REFERENCE ART COLLECTIONS [XRACs] AND DIGESTS
    • Y10TECHNICAL SUBJECTS COVERED BY FORMER USPC
    • Y10TTECHNICAL SUBJECTS COVERED BY FORMER US CLASSIFICATION
    • Y10T29/00Metal working
    • Y10T29/49Method of mechanical manufacture
    • Y10T29/49002Electrical device making
    • Y10T29/49117Conductor or circuit manufacturing
    • Y10T29/49124On flat or curved insulated base, e.g., printed circuit, etc.
    • Y10T29/49155Manufacturing circuit on or in base
    • Y10T29/49162Manufacturing circuit on or in base by using wire as conductive path

Landscapes

  • Engineering & Computer Science (AREA)
  • Chemical & Material Sciences (AREA)
  • Physics & Mathematics (AREA)
  • Power Engineering (AREA)
  • General Physics & Mathematics (AREA)
  • Microelectronics & Electronic Packaging (AREA)
  • Computer Hardware Design (AREA)
  • Manufacturing & Machinery (AREA)
  • Condensed Matter Physics & Semiconductors (AREA)
  • Chemical Kinetics & Catalysis (AREA)
  • General Chemical & Material Sciences (AREA)
  • Organic Chemistry (AREA)
  • Metallurgy (AREA)
  • Mechanical Engineering (AREA)
  • Materials Engineering (AREA)
  • Inorganic Chemistry (AREA)
  • Electromagnetism (AREA)
  • Plasma & Fusion (AREA)
  • Internal Circuitry In Semiconductor Integrated Circuit Devices (AREA)
  • Junction Field-Effect Transistors (AREA)

Description

半導體裝置之製造方法及半導體裝置之製造裝置
本發明係關於半導體裝置之製造方法及半導體裝置之製造裝置。
半導體裝置中,隨著微細化及多層化之發展,因電流密度之增加所導致之電子遷移(EM:Electro migration)相當嚴重。具有較高EM耐性之銅(Cu)之多層配線技術,係使半導體裝置高積體化所不可或缺的。
在Cu配線之製程中,利用所謂金屬鑲嵌(Danascene)法,預先在絕緣層形成對應配線形狀之溝渠,且在該溝渠充填Cu以形成配線。再者,在Cu配線之製程中,利用所謂雙鑲嵌(Dual-Danascene)法,在配線用之溝渠預先形成導通孔(Via-Hole),在溝渠與導通孔兩者充填Cu以同時形成配線與導通孔。
在鑲嵌製程後之Cu配線,係在Cu配線與Cu配線上之絕緣層(例如低介電率膜:Low-k膜)之間,積層SiC及SiN等之蓋層。蓋層係作為Cu配線表面之氧化防止膜、Cu之擴散防止膜、及導通孔之溝渠蝕刻阻絕膜。另一方面,由該等SiC及SiN等之絕緣膜構成之蓋層,係因與Cu配線之間之密著性較弱,而降低Cu配線之可靠性。又,使導通孔形成時之蝕刻步驟變複雜,而不利於半導體裝置之生產性。
因此,Cu多層配線技術,為解決上述問題,以往已提案有在Cu配線上之蓋層使用金屬材料。由金屬材料構成之蓋層(以下簡稱金屬蓋層)係被要求與Cu配線之密著性要高、比電阻值要低、阻障性要高(對來自Low-k膜之水分及來自Cu配線之Cu原子之阻障性要高)、及要具有僅形成在Cu配線上之選擇性。
專利文獻1係使用無電解電鍍法,於Cu配線表面選擇性的析出鈷鎢磷化物(CoWP),進一步藉由自我對準矽化物製程(Self aligned silicide)在CoWP層之表面形成金屬蓋層。藉此,能滿足作為金屬蓋層之密著性、導電性、阻障性及成膜選擇性,並且能提高金屬蓋層之耐氧化性。
另一方面,專利文獻2係使用氮化鋯及氮化鋯化合物等,作為金屬蓋層之材料,在包含Cu配線之基材之表面整體形成金屬蓋層。該氮化鋯及氮化鋯化合物係僅在Cu配線上選擇性的賦予導電性。根據此,無須上述之成膜選擇性而能達成作為金屬蓋層之功能。
然而,專利文獻1係為獲得成膜選擇性而使用無電解電鍍法。無電解電鍍法,CoWP層之形狀及膜厚受到藥液之濃度及氧化還原環境氣氛等之影響很大。其結果,CoWP之析出狀況係按照Cu配線之粗密、表面積、及形狀等而大變動,且導致相鄰接之CoWP層間之短路及Cu配線之被覆不良。
又,無電解電鍍法為實現成膜選擇性,必須使金屬鑲嵌製程後之Cu配線之表面或Low-k膜之表面等、浸漬於 藥液之表面,形成極為潔淨之狀態。因此,隨著潔淨化而導致表面處理步驟之增加,且有損半導體裝置之生產性。
另一方面,專利文獻2係僅揭示關於使用四(二乙胺)鋯(TDEAZ)之氮化鋯(ZrN)之製造方法,關於氮化鋯化合物之製造方法,並未有任何有關其原料及條件等之揭示。並且,根據本案發明人等之實驗,使用TDEAZ之ZrN之成膜方法中,同時大量的產生粉末狀之ZrN及副生成物等,在製造半導體裝置方面難以獲得充分的顆粒程度。此外,粉末狀之ZrN及副生成物堆積在原料氣體之供應系統及排氣系統,且使製造裝置無法穩定運作。因而,要求有能提高金屬蓋層之可靠性與生產性之半導體裝置之製造裝置及製造方法。
又,近年來,半導體領域之薄膜製造技術,微細加工之要求迅速,伴隨於此產生各種問題。
例如,以配線材料來說,由於電阻率小、不易產生電子遷移等理由,大多使用銅。但是,由於銅有不易蝕刻,且容易擴散於基材層(氧化矽膜)中之性質,因此產生裝置之可靠性降低之問題。
為解決該問題,藉由利用CVD法等,在多層配線構造中之多層間連接孔之內壁表面形成阻障膜,在其上形成銅薄膜作為配線層,以使銅薄膜與基材層(氧化矽膜)不會直接接觸之方式,來防止銅之擴散。作為此種阻障膜,已知有Ta(鉭)膜(例如參照專利文獻3)。
圖18(a)~(e)係表示使用Ta膜作為阻障膜來形成多層 配線構造之步驟之一例的截面圖。
如圖18(a)所示,在成膜對象之基板200係形成有電晶體等元件。在基板200,依序積層有第1配線Cu膜251、以10~30nm程度之膜厚形成之蓋層252、以具有層間絕緣膜功能之300~1000nm程度之膜厚形成之第1氧化矽膜253、於配線槽蝕刻時以具有溝渠蝕刻阻絕膜功能之30~200nm程度之膜厚形成之氮化鉭膜254、及以具有第2層間絕緣膜功能之300~1000nm程度之膜厚形成之第2氧化矽膜255。
該等之各膜係藉由已知之方法形成。例如,藉由電鍍法等形成Cu膜251,此外利用CVD法,形成蓋層252、第1氧化矽膜253及第2氧化矽膜255。
藉由一般之曝光法,在該等之積層膜形成光阻圖案,且設有藉由進行異向性蝕刻形成之孔256及配線槽257。在該孔256及配線槽257之內側、及第2氧化矽膜255上,如圖18(b)所示,藉由濺鍍法,形成作為阻障膜之Ta膜258。
接著,如圖18(c)所示,於Ta膜258之表面整體形成第2Cu膜259,而埋入孔256及配線槽257。接著如圖18(d)所示,藉由化學機械研磨(CMP)法,將形成在第2氧化矽膜255之平坦部255上的Ta膜258上之Cu膜259研磨並去除。再者,如圖18(e)所示,利用CMP法,將平坦部551上所露出之Ta膜258研磨並去除。藉此,僅在孔256及配線槽257埋入Cu膜259。
根據以上之步驟,藉由反覆形成銅配線與形成導通孔, 可獲得多層配線構造。
然而,如上述所示使用Ta膜258作為阻障膜時,在將形成於平坦部551上之Ta膜258去除之情況(參照圖18(e)),會有Ta膜258殘留在第2氧化矽膜255上而成為半導體裝置之特性不良的原因之問題。
[專利文獻1]日本特開2002-43315號公報
[專利文獻2]日本特開2003-17496號公報
[專利文獻3]日本特開2004-6856號公報(專利申請範圍等)
本發明之一態樣,係提供一種半導體裝置之製造裝置及製造方法,可提高金屬蓋層之可靠性與生產性。
本發明之另一態樣,係提供一種Ta膜以外之阻障膜之形成方法及藉由該方法獲得之阻障膜。
本發明之另一態樣,係提供一種包含以本發明之阻障膜之形成方法獲得之阻障膜之多層配線構造及其製造方法。
本發明之第1態樣係半導體裝置之製造方法。該方法係具備:絕緣層步驟,在具有元件區域之半導體基板積層絕緣層;凹部步驟,在該絕緣層形成凹部;金屬層步驟,在該凹部埋入金屬層;平坦化步驟,使該絕緣層表面與該金屬層表面平坦化為大致同一面;以及金屬蓋層步驟,於該平坦化步驟後,在該絕緣層表面與該金屬層表面,進行 至少包含鋯元素與氮元素之金屬蓋層之成膜。
本發明之第2態樣係半導體裝置之製造方法。該方法係具備:絕緣層步驟,在具有元件區域之半導體基板積層絕緣層;凹部步驟,在該絕緣層形成凹部;金屬層步驟,在該凹部埋入金屬層;平坦化步驟,使該絕緣層表面與該金屬層表面平坦化為大致同一面;以及金屬蓋層步驟,於該平坦化步驟後,使用含鋯氣體與含氮氣體,在該絕緣層表面與該金屬層表面,進行至少包含鋯元素與氮元素之金屬蓋層之成膜。
本發明之第3態樣係半導體裝置之製造方法。該方法係具備:絕緣層步驟,在具有元件區域之半導體基板積層絕緣層;凹部步驟,在該絕緣層形成凹部;金屬層步驟,在該凹部埋入金屬層;平坦化步驟,使該絕緣層表面與該金屬層表面平坦化為大致同一面;以及金屬蓋層步驟,該平坦化步驟後,使用Zr(BH4 )4 氣體與經激發之氮氣,在該絕緣層表面與該金屬層表面,進行至少包含鋯元素與氮元素之金屬蓋層之成膜。
本發明之第4態樣係半導體裝置之製造裝置。該裝置係具備:室本體,具有反應室;載台,設在該反應室且用以裝載半導體基板;第一供應機構,用以將含鋯氣體供應至該反應室;以及第二供應機構,用以將含氮氣體供應至該反應室,使用該含鋯氣體與該含氮氣體,在該半導體表面進行至少包含鋯元素與氮元素之金屬蓋層之成膜。
本發明之第5態樣係半導體裝置之製造裝置。該裝置 係具備:室本體,具有反應室;載台,設在該反應室且用以裝載半導體基板;第一供應機構,用以將Zr(BH4 )4 氣體供應至該反應室;第二供應機構,用以將經激發之氮氣供應至該反應室;以及控制機構,用以驅動該第一及第二控制機構,使用該Zr(BH4 )4 氣體與該經激發之氮氣,在該半導體基板之表面,將至少含有鋯元素與氮元素之金屬蓋層成膜。
本發明之第6態樣係阻障膜之形成方法。該方法係具備:在具有絕緣膜之成膜對象物之表面,形成作為該阻障膜之ZrBN膜;在該絕緣膜形成有孔及配線槽;該ZrBN膜係分別形成在該孔及該配線槽之內面、與該絕緣膜上。
本發明之第7態樣係阻障膜之形成方法。該方法係具備:在具有絕緣膜之成膜對象物之表面,使用Zr(BH4 )4 氣體與經激發之氮氣,利用CVD法形成作為該阻障膜之ZrBN膜;在該絕緣膜形成有孔及配線槽;該ZrBN膜係分別形成在該孔及該配線槽之內面、與該絕緣膜上。
本發明之第8態樣係阻障膜之形成方法。該方法係具備:藉由原子層蒸鍍法,在具有絕緣膜之成膜對象物之表面形成ZrBN膜作為該阻障膜,該原子層蒸鍍法係僅使用Zr(BH4 )4 氣體之吸附步驟、與僅使用經激發之氮氣之反應步驟反覆進行;在該絕緣膜形成有孔及配線槽,該ZrBN膜係分別形成在該孔及該配線槽之內面、與該絕緣膜上。
本發明之第9態樣係多層配線構造之製作方法。該方法係具備:在基板上,至少依序積層配線膜、蓋層、第1 絕緣膜及第2絕緣膜;形成連接於該配線膜之孔、與自該第2絕緣膜之表面到該孔為止之配線槽;分別在該孔及該配線槽之內面,與該第2絕緣膜上,形成ZrBN膜作為阻障膜;以及在具有該ZrBN膜之該孔及配線槽埋入銅配線。
本發明之第10態樣係多層配線構造之製作方法。該方法係具備:在基板上,至少依序積層配線膜、蓋層、第1絕緣膜及第2絕緣膜;形成連接於該配線膜之孔、與自該第2絕緣膜之表面到該孔為止之配線槽;分別在該孔及該配線槽之內面與該第2絕緣膜上,使用Zr(BH4 )4 氣體與經激發之氮氣,利用CVD法形成作為該阻障膜之ZrBN膜;以及在具有該ZrBN膜之該孔及配線槽埋入銅配線。
本發明之第11態樣係多層配線構造之製作方法。該方法係具備:在基板上,至少依序積層配線膜、蓋層、第1絕緣膜及第2絕緣膜;形成連接於該配線膜之孔、與自該第2絕緣膜之表面到該孔為止之配線槽;利用僅使用Zr(BH4 )4 氣體之吸附步驟、與僅使用經激發之氮氣之反應步驟反覆進行之原子層蒸鍍法,分別在該孔及該配線槽之內面、與該第2絕緣膜上形成ZrBN膜作為阻障膜;以及在具有該ZrBN膜之該孔及配線槽埋入銅配線。
本發明之第12態樣係多層配線構造。該構造係具備:基板;該基板上之配線膜;該配線膜上之蓋層;該蓋層上之第1絕緣膜;該第1絕緣膜上之第2絕緣膜,且在該蓋層及該第1絕緣膜形成有連接在該配線膜之孔,而在該第2絕緣膜形成有自該第2絕緣膜表面至該孔為止之配線槽; ZrBN膜,作為阻障膜,分別形成於該孔及配線槽之內面、與該第2絕緣膜上;以及銅配線,埋入於具有該ZrBN膜之該孔及配線槽。
本發明人等,在研究將ZrN作為金屬阻障材料時,發現了含硼(B)之ZrBx Ny 膜,係與ZrN膜同樣地,對金屬配線具有良好的密著性與高阻障性,並且其導電性方面具有高的基材依存性。
亦即,本發明人等發現了ZrBx Ny 膜(x包含0),作為金屬蓋層具有良好的密著性、高導電性及高阻障性,並且在金屬膜上(例如Cu配線上)具有高導電性,在絕緣膜上(例如Low-k膜上及硬遮罩上)具有高絕緣性。又,作為該ZrBx Ny 膜之原料氣體,藉由使用Zr(BH4 )4 與經激發之氮氣(N2 氣體),能避免顆粒的產生,並能建構穩定之反應系。
(第一實施形態)
以下,按照圖式說明本發明之第一實施形態之半導體裝置1之製造方法及製造裝置。首先,說明使用第一實施形態之製造方法來製造之半導體裝置1。半導體裝置1係例如包含各種RAM及各種ROM之記憶體,或是包含MPU及泛用邏輯之邏輯等。圖1係用以說明半導體裝置1之要部截面圖。
(半導體裝置1)
圖1中,半導體裝置1係具備構成半導體基板之矽基 板2。矽基板2係具有在其表面(即表示於圖1之上面)之元件分離區域2a、與包圍在該元件分離區域2a之元件區域2b。在元件分離區域2a埋入有例如呈STI(Shallow Trench Isolation:淺溝渠隔離)構造之氧化矽膜等之絕緣膜。在元件區域2b形成有MOS電晶體3。MOS電晶體3係由例如形成於元件區域2b之閘極絕緣膜4、形成於閘極絕緣膜4兩側之源極/汲極區域5、積層在閘極絕緣膜4之閘極6、以及覆蓋閘極6的外側面之側壁7所構成。
在矽基板2表面積層有覆蓋MOS電晶體3之第1層間絕緣膜8。第1層間絕緣膜8係能藉由例如添加磷之氧化矽膜(PSG)、或添加磷及硼之氧化矽膜(BPSG)等構成。在第1層間絕緣膜8形成有貫穿該絕緣膜且到達源極/汲極5之凹部(以下簡稱為接觸孔9)。在接觸孔9內側分別形成有接觸柱塞10。接觸柱塞10係能藉由接觸層/阻障層/柱塞層(例如矽化鈦/氮化鈦/鎢)構成之積層構造構成。
在第1層間絕緣膜8表面積層有第2層間絕緣膜11。在第2層間絕緣膜11係能使用例如氧化矽膜或添加磷之氧化矽膜等。在第2層間絕緣膜11形成有貫穿該絕緣膜且到達接觸孔9(或接觸柱塞10)之凹部(以下簡稱為第1溝渠12)。在第1溝渠12內側形成有第1配線13。第1配線13係能藉由由第1阻障層14/第1配線層15(例如氮化鉭(或氮化鈦/銅)構成之積層構造構成。
在第2層間絕緣膜11表面,積層有與第2層間絕緣膜11及第1配線13(亦即第1阻障層14及第1配線層15)共 通之第1金屬蓋層16。第1金屬蓋層16係以具有高耐氧化性之氮化鋯(ZrN)或氮化硼(ZrBx Ny )為主成分之層,且顯現對應基材的導電性之導電性。第1金屬蓋層16係於例如對應第1配線13表面之區域(於圖1為以較濃的點所表示之區域),具有數~十數[μΩ/cm]之比電阻值。此外,第1金屬阻障層16係於對應第2層間絕緣膜11表面之區域(於圖1為以較淡的點所表示之區域),具有無限大的比電阻值。
此處,將對應第1配線13的表面之第1金屬蓋層16的區域稱為第1導電區域16a。此外,將對應第2層間絕緣膜11的表面之第1金屬蓋層16的區域稱為第1絕緣區域16b。
第1金屬蓋層16係對水份具有較高的阻障性。第1金屬蓋層16係藉由第1導電區域16a與第1阻障層14,包圍第1配線層15以阻止第1配線層15之氧化。第1金屬蓋層16係覆蓋第2層間絕緣膜11的表面,以阻止第2層間絕緣膜11之吸濕。第1金屬蓋層16係藉由對第1配線13之高密著性與高阻障性,防止第1配線13之金屬擴散及第1配線13之遷移。
第1金屬蓋層16係在第1導電區域16a具有高導電性,且在第1絕緣區域16b具有高絕緣性。因此,第1金屬蓋層16係不會受到第1配線13之粗密、表面積、形狀等的影響,僅在對應第1配線13之第1導電區域16a顯現導電性,而在對應第2層間絕緣膜11之第1絕緣區域16b顯 現絕緣性。
藉此,第1金屬蓋層16可確實避免與鄰接之第1配線13間之短路。又,由於第1金屬蓋層16係形成在矽基板2的表面整體(即第2層間絕緣膜11的表面與第1配線13的表面),因此能抑制各第1配線13之膜厚差,且避免因膜厚之不均所引起之第1配線13之被覆不良。
在第1金屬蓋層16的表面,積層有第3層間絕緣膜21與溝渠蝕刻阻絕層22。第3層間絕緣膜21係能藉由有機矽玻璃或多孔質之矽玻璃等之低介電率膜(以下簡稱為Low-k膜)構成。溝渠蝕刻阻絕層22係對第3層間絕緣膜21為具有高蝕刻選擇比之膜,能藉由例如氮化矽膜或碳化矽膜等構成。在該等第3層間絕緣膜21與溝渠蝕刻阻絕層22,係形成有貫穿該等且到達第1金屬蓋層16之第1導電區域16a之共通的凹部(以下簡稱為導通孔23)。
在溝渠蝕刻阻絕層22的表面積層有第4層間絕緣膜31與硬遮罩32。第4層間絕緣膜31係與第3層間絕緣膜21同樣地,能藉由例如各種Low-k膜等構成。硬遮罩32係對第4層間絕緣膜31為具有高蝕刻選擇比之膜,能藉由例如氮化矽膜或碳化矽膜等構成。在該等第4層間絕緣膜31與硬遮罩32,係形成有貫穿該等且連接於導通孔23之共通的凹部(以下簡稱為第2溝渠33)。
在導通孔23與第2溝渠33的內側形成有第2配線34。第2配線34係具有對應導通孔23之通孔接觸件34a、及對應第2溝渠33之第2配線部34b。第2配線34係能藉 由由第2阻障層35/第2配線層36(例如氮化鉭(或氮化鈦)/銅)構成之積層構造構成。
第2配線34係透過第1金屬蓋層16之第1導電區域16a而與第1配線13連接。第1金屬蓋層16係利用其高耐氧化性以防止第1導電區域16a之氧化,並且使第1配線13與第2配線34之間可形成電氣連接。
在硬遮罩32的表面,積層有與硬遮罩32及第2配線34(即第2阻障層35及第2配線層36)共通之第2金屬蓋層37。第2金屬蓋層37係與第1金屬蓋層16同樣地,為以ZrBx Ny (x係包含0)為主成份之層,具有對應基材的導電性之導電性。第2金屬蓋層37係於例如對應第2配線34表面之區域(於圖1為以較濃的點所表示之區域),具有數~十數[μΩ/cm]之比電阻值。此外,第2金屬蓋層37係於對應硬遮罩32的表面之區域(於圖1為以較淡的點所表示之區域),具有無限大的比電阻值。
此處,將對應第2配線34的表面之第2金屬蓋層37的區域稱為第2導電區域37a。此外,將對應硬遮罩32的表面之第2金屬蓋層37的區域稱為第2絕緣區域37b。
第2金屬蓋層37係對水份具有較高的阻障性。第2金屬蓋層37係藉由第2導電區域37a與第2阻障層35,包圍第2配線層36以阻止第2配線層36之氧化。第2金屬蓋層37係覆蓋硬遮罩32的表面,以阻止第4層間絕緣膜31之吸濕,使Low-k膜之介電率穩定。第2金屬蓋層37係藉由對第2配線34之高密著性與高阻障性,防止第2 配線34之金屬擴散及第2配線34之遷移。
第2金屬蓋層37係在第2導電區域37a具有高導電性,且在第2絕緣區域37b具有高絕緣性。因此,第2金屬蓋層37係不會受到第2配線34之粗密、表面積、形狀等的影響,僅在對應第2配線34之第2導電區域37a顯現導電性,而在對應硬遮罩32之第2絕緣區域37b顯現絕緣性。
藉此,第2金屬蓋層37可確實避免與鄰接之第2配線34間之短路。又,由於第2金屬蓋層37係形成在矽基板2的表面整體(即硬遮罩32的表面與第2配線34的表面),因此能抑制各第2配線34之膜厚差,且避免因膜厚之不均所引起之第2配線34之被覆不良。
(半導體裝置之製造裝置)
接著說明作為上述半導體裝置1之製造裝置之成膜裝置40。
圖2中,成膜裝置40係具有負載鎖緊室(load-lock chamber)40L、連接於該負載鎖緊室40L之中樞室40C、及連接於該中樞室40C之四個成膜室40D。與負載鎖緊室40L、成膜室40D及各室40L、40D解除自如地連通之中樞室40C,係形成共通之真空系統。
負載鎖緊室40L係將複數個矽基板2收容於減壓空間,於開始矽基板2之成膜處理時,將複數個矽基板2搬入成膜裝置40之內部。負載鎖緊室40L係於完成矽基板2之成膜處理時,收容成膜處理後之矽基板2然後將成膜裝置40大氣開放,以將矽基板2搬出至成膜裝置40之外部。
中樞室40C係於開始矽基板2之成膜處理時,自負載鎖緊室40L搬入成膜處理前之矽基板2,且搬送至各成膜室40D。中樞室40C係於完成矽基板2之成膜處理時,自各成膜室40D搬入成膜處理後之矽基板2,且搬送至負載鎖緊室40L。
各成膜室40D係利用CVD法或原子層蒸鍍法(ALD:Atomic Layer Deposition),將ZrBx Ny 膜進行成膜之室。各成膜室40D係於執行矽基板2之成膜處理時,自中樞室40C搬入矽基板2,於矽基板2的表面將ZrBx Ny 膜,即上述第1及第2金屬蓋層16、37進行成膜。
圖3中,成膜室40D係具有其上部形成開口之室本體41、及配設在該室本體41的上部,使上部開口可開閉之室蓋42。成膜室40D係具有該等室本體41與室蓋42所包圍之內部空間(以下簡稱為反應室S)。
在室本體41配設有裝載矽基板2之基板載台43。基板載台43係裝載有電阻加熱器之載台。基板載台43係於裝載矽基板2時,使矽基板2升溫至既定溫度(例如200[℃]~240[℃])。在基板載台43的下側係連接有升降機構44。升降機構44係使基板載台43升降於上下方向,以可搬入及搬出矽基板2。
在室本體41的一側係透過排氣口PD連接有排氣泵45。排氣泵45係藉由渦輪式分子泵或乾式泵等各種泵構成,以將反應室S之壓力減壓至既定的壓力(例如1[Pa]~1000[Pa])。
在室蓋42的下側配設有用以將氣體導入反應室S之蓮蓬頭46。蓮蓬頭46係具備複數個第一氣體供應孔H1、及與第一氣體供應孔分開之第二氣體供應孔H2。蓮蓬頭46係將Zr(BH4 )4 氣體自各第一氣體供應孔H1導入反應室S。此外,蓮蓬頭46係將氮氣自各第二氣體供應孔H2導入反應室S。
在室蓋42之上部一側設有第一氣管氣口P1。第一氣管氣口P1係通過室蓋42的內部而與蓮蓬頭46之各第一供應氣體孔H1相連通。第一氣管氣口P1係於室蓋42的外部,透過供應配管及供應閥與供應槽T連接。供應槽T係為在0℃的保溫狀態下收容Zr(BH4 )4 之槽,且與流量控制器MC1相連接。
流量控制器MC1係連接於載體氣體(例如氬(Ar))之供應系統,且將既定流量之Ar供應至供應槽T之內部。流量控制器MC1係在例如10[sccm]~500[sccm]之流量範圍控制Ar之供應量。當流量控制器MC1供應載體氣體時,供應槽T係使所收容之Zr(BH4 )4 產生氣泡,而將包含Zr(BH4 )4 之載體氣體(以下簡稱為Zr(BH4 )4 氣體)供應至第一氣管氣口P1。Zr(BH4 )4 氣體係通過第一氣管氣口P1而自各第一氣體供應孔H1被導入至反應室S。
在室蓋42之上端部設有第二氣管氣口P2。第二氣管氣口P2係通過室蓋42的內部而與蓮蓬頭46之各第二供應氣體孔H2相連通。第二氣管氣口P2係於室蓋42的外部,透過供應配管及供應閥,與流量控制器MC2、流量控 制器MC3及流量控制器MC4相連接。
流量控制器MC2、MC3、MC4係分別連接於氫(H2 )氣體、氨(NH3 )氣體及氮(N2 )氣體之供應系統,且將既定流量之H2 、NH3 及N2 供應至第二氣管氣口P2。流量控制器MC2、MC3、MC4係分別在例如10[sccm]~500[sccm]之流量範圍,控制H2 、NH3 及N2 之供應量。當流量控制器MC2、MC3、MC4分別供應H2 、NH3 及N2 時,H2 、NH3 及N2 係分別通過第二氣管氣口P2自各第二氣體供應孔H2被導入至反應室S,並到達裝載於基板載台43之矽基板2的表面。
在室蓋42的上部,且在第二氣管氣口P2與第二氣體供應孔H2之間之流路設有照射管47。照射管47係由石英管或鋁管構成之耐熱性的圓筒管,將供應至第二氣管氣口P2之氣體朝各第二氣體供應孔H2導出。
在照射管47的外側,且在照射管47之長邊方向途中,配設有由微波電源FG所驅動之微波源48、及連接於微波源48朝照射管47延伸之導波管49。
微波源48係為產生例如2.45GHz的微波之微波振盪器(即磁控管),接收微波電源FG之驅動電力後間歇的輸出既定輸出範圍(例如0.1~0.3[kW])之微波。導波管49係將微波源48所振盪之微波傳送至導波管49內部,而導入至照射管47的內部。導波管49係於微波源48振盪微波時,將微波照射於通過照射管47之氣體並將其激發,進而活化(即,電漿化)。
在微波源48產生之微波係將自第二氣管氣口P2導入 至照射管47之氣體激發,且自各第二氣體供應孔H2將該激發之氣體導入至反應室S。滯留在反應室S之Zr(BH4 )4 係與經激發之N2 氣體反應,而在矽基板2的表面形成ZrBx Ny 膜。
接著說明上述成膜裝置40之電氣構成。
圖4中,控制部51,係為使成膜裝置40執行各種處理動作,例如矽基板2之搬送處理動作及矽基板2之成膜處理動作等。控制部51係具有執行各種演算處理之CPU、儲存各種資料及各種控制程式之儲存部51A、及對各種處理步驟之製程時間計時之計時器51B。控制部51係讀出例如儲存部51A所儲存之成膜處理程式,且依據計時器51B所計時之製程時間與所讀出之成膜處理程式,使成膜裝置40執行成膜處理動作。
控制部51係連接有輸出入部52。輸出入部52係具有啟動開關及停止開關等之各種操作開關、及液晶顯示器等之各種顯示裝置。輸出入部52係將各處理動作所使用之資料供應至控制部51,並輸出(例如表示)與成膜裝置40之處理狀況相關之資料。輸出入部52係將例如與成膜時之各種參數(製程時間、氣體流量、微波電源FG之輸出值等)相關之資料(以下稱為成膜條件資料Id。)供應至控制部51。控制部51係接收自輸出入部52所供應之成膜條件資料Id,產生與成膜條件資料Id對應之各種驅動控制訊號。亦即控制部係在與成膜條件資料Id對應之成膜條件下,使成膜裝置40執行成膜處理動作。
控制部51係連接有用以驅動控制排氣系統之排氣系統驅動電路53。控制部51係將與排氣系統驅動電路53對應之第1驅動控制訊號供應至排氣系統驅動電路53。排氣系統驅動電路53係按照第1驅動控制訊號,來驅動用以使室內(例如反應室S)減壓至既定壓力之排氣系統(例如排氣泵45)。
控制部51係連接有搬送系統驅動電路54。控制部51係將與搬送系統驅動電路54對應之第2驅動控制訊號供應至搬送系統驅動電路54。搬送系統驅動電路54係按照第2驅動控制訊號,來驅動用以搬送矽基板2之搬送系統(例如升降機構44)。此外,搬送系統驅動電路54係按照第2驅動控制訊號,來驅動用以使矽基板2升溫之基板載台43之加熱器。
控制部51係連接有流量控制器驅動電路55。控制部51係將與流量控制器驅動電路55對應之第3驅動控制訊號供應至流量控制器驅動電路55。流量控制器驅動電路55係按照第3驅動控制訊號,來驅動用以供應各氣體之各流量控制器MC1~MC4。
控制部51係連接有微波電源驅動電路56。控制部51係將與微波電源驅動電路56對應之第4驅動控制訊號供應至微波電源驅動電路56。微波電源驅動電路56係按照第4驅動控制訊號,來驅動用以振盪微波之微波電源FG。
(半導體裝置1之製造方法)
接著說明使用上述成膜裝置40之半導體裝置1之製造 方法。
首先,如圖1所示,在矽基板2的表面劃分形成元件分離區域2a及元件區域2b。例如使用周知的STI製程,在元件分離區域2a埋入氧化矽膜。又,例如使用周知的MOS製程,形成閘極絕緣膜4、源極/汲極區域5、閘極6以及側壁7,並於元件區域2b形成MOS電晶體3。
形成MOS電晶體3時,會在矽基板2的表面積層第1層間絕緣膜8,並形成接觸柱塞10。例如,利用CVD技術在矽基板2的表面積層覆蓋MOS電晶體3之氧化矽膜以形成第1層間絕緣膜8,再使用微影技術與蝕刻技術,在第1層間絕緣膜8形成接觸孔9。接著,利用濺鍍技術或CVD技術,於接觸孔9埋入矽化鈦/氮化鈦/鎢,而形成接觸柱塞10。該接觸柱塞10之表面係使用CMP(Chemical Mechanical Polishing:化學機械研磨)技術或回蝕技術加以平坦化。
形成接觸柱塞10時,在第1層間絕緣膜8的表面積層第2層間絕緣膜11,並在第2層間絕緣膜11形成第1溝渠12。亦即,進行絕緣層步驟,接著進行凹部步驟。例如,絕緣層步驟中,利用CVD技術,在第1層間絕緣膜8的表面積層氧化矽膜以形成第2層間絕緣膜11,而在凹部步驟中,使用微影技術與蝕刻技術來形成第1溝渠12。
形成第1溝渠12時,在包含第1溝渠12內之第2層間絕緣膜11的表面積層第1配線13,並使第2層間絕緣膜11的表面與第1配線13的表面平坦化。亦即,進行金 屬層步驟,接著進行平坦化步驟。例如,金屬層步驟中,使用濺鍍技術,於包含第1溝渠12的內側面之矽基板2整體積層氮化鈦而形成第1阻障層14。接著,利用無電解電鍍技術或CVD技術,在第1阻障層14的表面形成銅之電鍍種子層,再使用電解電鍍技術,於包含第1溝渠12的內側之矽基板2整體析出銅而形成第1配線層15。平坦化步驟中,使用CMP技術,研磨第1阻障層14及第1配線層15,使第1阻障層14及第1配線層15之表面與第2層間絕緣膜11的表面形成大致同一面而形成第1配線13。
形成第1配線13後,將表面具有第2層間絕緣膜11與第1配線13之矽基板2,裝設在成膜裝置40之負載鎖緊室40L,並進行金屬蓋層步驟。
成膜裝置40之控制部51係自輸出入部52接收成膜條件資料Id,透過排氣系統驅動電路53來驅動排氣泵45,使用以收容矽基板2之反應室S預先減壓至既定的到達壓力(例如1[Pa])。控制部51係使反應室S減壓時,透過搬送系統驅動電路54來驅動搬送系統,並將負載鎖緊室40L之矽基板2搬送至成膜室40D。
控制部51係透過搬送系統驅動電路54驅動升降機構44,使矽基板2裝載於基板載台43。控制部51係開始使用計時器51B之製程時間之計時動作,使該矽基板2升溫至既定溫度(例如240[℃])。此時,如圖5所示,控制部51係透過流量控制器驅動電路55驅動流量控制器MC2,將既定流量之H2 氣體供應至反應室S。此外,控制部51係 透過排氣系統驅動電路53驅動排氣系統,使反應室S之壓力維持在既定的壓力值。藉此,能促進矽基板2之升溫,又若矽基板2之溫度在250[℃]以上的話,則使銅膜(第1配線13)的表面還原。又,使用以微波激發之氫(H2 )時,只要矽基板2的溫度在130[℃]以上,即能獲得銅膜表面之還原效果。
控制部51,係當計時器51B所計時之製程時間到達既定時間時,則驅動排氣系統驅動電路53、流量控制器驅動電路55及微波電源驅動電路56,而進行對應成膜條件資料Id之各處理步驟。
亦即,控制部51係透過流量控制器驅動電路55驅動流量控制器MC2而停止供應H2 氣體。接著,控制器51係透過排氣系統驅動電路53驅動排氣系統,並預先設定排氣系統之排氣能力,以使在成膜條件下之反應室S的壓力成為既定的壓力值。
控制部51,係於設定排氣系統時,透過流量控制器驅動電路55驅動流量控制器MC4,將既定流量之N2 氣體供應至反應室S。此外,控制部51係透過微波電源驅動電路56驅動微波電源FG,將經激發之N2 氣體供應至反應室S。
控制部51係開始供應經激發之N2 氣體時,透過流量控制器驅動電路55驅動流量控制器MC1,將既定流量之Zr(BH4 )4 氣體供應至反應室S。藉此,控制部51係開始Zr(BH4 )4 與經激發之N2 氣體之氣相反應,而在矽基板2之表面整體堆積以ZrBx Ny 膜作為主成分之第1金屬蓋層16。
所堆積之ZrBx Ny 膜係不會受到第1配線13之粗密、表面積及形狀等的影響,僅在第1配線13上之區域顯現導電性,且避免相鄰接之配線間之短路。又,由於ZrBx Ny 膜係具有高耐氧化性與高阻障性,因此阻止製造過程中之ZrBx Ny 膜本身的氧化、第1配線13之氧化、第2層間絕緣膜11之吸濕等。又,由於ZrBx Ny 膜具有第1配線13與高密著性,因此會避免第1金屬蓋層16有膜剝裂之機械性損傷。並且,該ZrBx Ny 膜係藉由上述成膜室40D於矽基板2整體成膜。因此,比起在每一第1配線13形成金屬蓋層之情況,此ZrBx Ny 膜係抑制第1配線13間之膜厚差,且避免因膜厚之不均所引起之第1配線13之被覆不良。
又,作為ZrBx Ny 膜之原料氣體,藉由使用Zr(BH4 )4 與經激發之氮氣(N2 氣體),能獲得穩定之反應系,且能抑制遍及反應室S的內部、供應配管、排氣配管等反應室之各個部位產生顆粒。又,相較於在N2 氣體氛圍下之Zr(BH4 )4 的熱分解反應,使用經激發之N2 氣體,即能使在ZrBx Ny 膜之膜中含有更多的氮元素。此外,使用未含氫元素之氮系氣體(N2 氣體),即能使經激發之N2 氣體的壽命延長,且促進Zr-N鍵之產生。其結果,能更確實顯現ZrBx Ny 膜之電阻率之基材選擇性。
控制部51,係當計時器51B所計時之製程時間一到既定時間時,即透過流量控制器驅動電路55驅動流量控制器MC1,並停止供應Zr(BH4 )4 氣體。接著,控制部51係 透過微波電源驅動電路56停止微波之振盪,並且透過流量控制器驅動電路55停止供應N2 氣體。然後,控制部51係透過搬送系統驅動電路54驅動搬送系統,將具有第1金屬蓋層16之矽基板2搬送至負載鎖緊室40L,自成膜裝置40搬出該矽基板2。
形成第1金屬蓋層16後,在第1金屬蓋層16之表面依序積層第3層間絕緣膜21、溝渠蝕刻阻絕層22、第4層間絕緣膜31、及硬遮罩32。亦即,進行絕緣層步驟。
例如,絕緣層步驟中,利用CVD技術或自旋塗技術,在第1金屬蓋層16的表面積層有機矽玻璃以形成第3層間絕緣膜21,利用CVD技術,在第3層間絕緣膜21之表面積層碳化矽膜以形成溝渠蝕刻阻絕層22。又,利用CVD技術或自旋塗技術,在溝渠蝕刻阻絕層22之表面形成第4層間絕緣膜31,利用CVD技術,在第4層間絕緣膜31之表面積層碳化矽膜以形成硬遮罩32。
形成硬遮罩32後,在第3層間絕緣膜21及溝渠蝕刻阻絕層22形成導通孔23,並且在第4層間絕緣膜31及硬遮罩32形成第2溝渠33。亦即進行凹部步驟。例如,凹部步驟中,利用先形成導通孔23之先蝕刻通孔法,形成導通孔23及第2溝渠33。
形成導通孔23與第2溝渠33後,在包含導通孔23內及第2溝渠33內之第4層間絕緣膜31的表面積層第2配線34,且使第4層間絕緣膜31的表面與第2配線34的表面平坦化。亦即進行金屬層步驟,接著進行平坦化步驟。 例如,金屬層步驟中,使用濺鍍技術,在包含導通孔23與第2溝渠33的內側面之矽基板2整體積層氮化鈦,以形成第2阻障層35。接著,利用無電解電鍍技術或CVD技術,在第2阻障層35的表面形成銅之電鍍種子層,再使用電解電鍍技術在包含導通孔23與第2溝渠33內側之矽基板2整體析出銅,以形成第3配線層36。平坦化步驟中,使用CMP技術,研磨第2阻障層35及第2配線層36,使第2阻障層35及第2配線層36之表面與硬遮罩32之表面形成大致同一面,以形成第2配線34。
形成第2配線34後,將矽基板2搬送至上述成膜裝置40,而在硬遮罩32及第2配線34之表面形成第2金屬蓋層37。亦即,與上述第1金屬蓋層16同樣地,在矽基板2之表面整體(硬遮罩32的表面與第2配線34的表面),積層共同之ZrBx Ny 膜以形成第2金屬蓋層37(進行金屬蓋層步驟)。
由於第2金屬蓋層37係與第1金屬蓋層16同樣地,具有高耐氧化性與高阻障性,因此阻止製造過程中ZrBx Ny 膜本身之氧化、第2配線34之氧化、及第4層間絕緣膜31之吸濕等。又,由於ZrBx Ny 膜在與第2配線34之間具有高密著性,因此避免第2金屬蓋層37之所謂膜剝裂之機械性損傷。此外,該ZrBx Ny 膜係藉由上述成膜室40D而在矽基板2整體成膜。因此,相較於依每一第2配線34形成金屬蓋層之情況,該ZrBx Ny 膜係抑制第2配線34間之膜厚差,且避免因膜厚之不均而產生之第2配線34之 被覆不良。
又,作為第2金屬蓋層37之原料氣體,藉由使用Zr(BH4 )4 與經激發之氮氣(N2 氣體),能賦予穩定之反應系,且能抑制遍及反應室S之內部、供應配管、排氣配管等反應系之各個部位產生顆粒。並且,相較於在N2 氣體氛圍下之Zr(BH4 )4 的熱分解反應,使用經激發之N2 氣體,即能在ZrBx Ny 膜之膜中含有更多的氮元素。此外,使用未含氫元素之氮系氣體(N2 氣體),即能使經激發之N2 氣體的壽命延長,且能促進產生Zr-N鍵。其結果,能更確實顯現ZrBx Ny 膜之電阻率的基材選擇性。
(實施例)
接著例舉實施例說明本發明之效果。表1~表4係表示使用上述成膜裝置40而形成之ZrBx Ny 膜在各種條件之導電性。又,圖6~圖9係表示表1~表4中之實施例及比較例之元素濃度。另外,實施例之膜厚資料,係於矽基板之中心附近切開,並利用掃描電子顯微鏡(SEM)所測得之值。
表1中,使用表面具有銅膜之矽基板,以Zr(BH4 )4 氣體為100[sccm]、N2 氣體為100[sccm]、成膜時間為2[min]、成膜溫度為240[℃]、成膜時壓力為700[Pa]、微波輸出為500[W]之條件下進行成膜,而獲得實施例1之ZrBx Ny 膜。又,使用表面具有氧化矽膜之矽基板,以與實施例1相同的條件下進行成膜,而獲得實施例2之ZrBx Ny 膜。此時,測量ZrBx Ny 膜之膜中與膜上之顆粒數目,並確認出顆粒之 增加程度為數個程度。此外,以目視確認反應室S之內壁、供應配管之內部、及排氣配管之內部,確認出未產生粉末狀之副生成物。
又,表1中,將實施例2之N2 氣體變更為NH3 氣體,使其他條件相同而獲得比較例1之ZrBx Ny 膜。此外,將實施例2之N2 氣體變更為N2 氣體與H2 氣體之混合氣體,使其他條件相同而獲得比較例2之ZrBx Ny 膜。此時,測量ZrBx Ny 膜之膜中與膜上之顆粒數目,並確認出顆粒之增加程度為數個程度。此外,以目視確認反應室S之內壁、供應配管之內部、及排氣配管之內部,確認出未產生粉末狀之副生成物。
又,對實施例1、實施例2、比較例1及比較例2之ZrBx Ny 膜,測量了膜厚與薄膜電阻值。又,對實施例2、比較例1及比較例2之ZrBx Ny 膜,利用歐傑電子分光分析法(AES: Auger Electron Spectroscopy)測量膜中之元素濃度。將膜厚與薄膜電阻值之結果表示於表1,將實施例2、比較例1及比較例2之元素濃度之測定結果分別表示於圖6、圖7及圖8。此外於圖6~圖9,橫軸係表示取樣(ZrBx Ny 膜/氧化矽膜)之濺鍍時間,即ZrBx Ny 膜之膜厚,縱軸係表示對象元素之濃度,即硼(B)、碳(C)、氮(N)、氧(O)、矽(Si)及鋯(Zr)之元素濃度。
表1中,「∞」係表示,於測量了對應之ZrBx Ny 膜之薄膜電阻值,全部49點皆超過檢測界限之值(5×106 [Ω/ ]以上)。
表1中,實施例1之ZrBx Ny 膜係表示其薄膜電阻值為約6[Ω/ ],且可知積層於銅膜(導電膜)之ZrBx Ny 膜具有高導電性。實施例2之ZrBx Ny 膜係表示其薄膜電阻值為”∞”,且可知積層於氧化矽膜(絕緣膜)之ZrBx Ny 膜具有高導電性。亦即,可知藉由實施例1及實施例2之成膜條件而獲得之ZrBx Ny 膜,係相對其電阻率具有大的基材選擇 性。
另一方面,比較例1與比較例2係表示雖在氧化矽膜上成膜,但分別比實施例2為低之薄膜電阻值。亦即,可知未顯現積層於氧化矽膜(絕緣膜)之ZrBx Ny 膜有完全的絕緣性。
圖6中,實施例2,係可知於該ZrBx Ny 膜包含濃度高於硼元素(B)的氮元素(N),該ZrBx Ny 膜於體積狀態中包含超過40%之氮元素。另一方面,圖7與圖8中,比較例1與比較例2,係很明顯的包含濃度分別較硼元素(B)的濃度低高的氮元素(N),且可知其濃度未達20%。
該等結果,比較例1之NH3 氣體或比較例2之H2 氣體,即製程氣體中之氫元素,係使混入ZrBx Ny 膜之氮元素之濃度下降,而對ZrBx Ny 膜,成為阻礙其絕緣性顯現之主因。因此,未含氫元素之氮添加氣體,亦即與經激發之N2 氣體與Zr(BH4 )4 之氣相反應系,係能使ZrBx Ny 膜之電阻率之基材選擇性變更確實。又,由於Zr(BH4 )4 與經激發之氮氣(N2 氣體)之氣相反應系未確認為其顆粒之增加主因,因此作為ZrBx Ny 膜之反應系而能提供充分穩定之反應系。
表2中,將實施例2之成膜時間延長,使其他條件相同而獲得比較例3與比較例4之ZrBx Ny 膜。表3中,變更實施例2之成膜溫度,使其他條件相同而獲得比較例5、比較例6及比較例7之ZrBx Ny 膜。此外,表4中,變更實施例2之成膜壓力、微波輸出,使其他條件相同而獲得比較例8、比較例9及比較例10之ZrBx Ny 膜。此時,測量 各ZrBx Ny 膜之膜中與膜上之顆粒數目,並確認出粒子之增加程度為數個程度。此外,以目視確認反應室S之內壁、供應配管之內部、及排氣配管之內部,確認出未產生粉末狀之副生成物。
又,對比較例3~10之ZrBx Ny 膜,測量了膜厚與薄膜電阻值。又,對比較例7之ZrBx Ny 膜,使用AES測量了膜中之元素濃度。將比較例3~10之膜厚與薄膜電阻值之結果表示於表2~表4,將比較例7之元素濃度之測定結果表示於圖9。
表2中,可知比較例3與比較例4,係與實施例2相同的,ZrBx Ny 膜之薄膜電阻值為”∞”,且積層於氧化矽膜(絕緣膜)之ZrBx Ny 膜具有高絕緣性。因而,Zr(BH4 )4 與經激發之氮氣(N2 氣體)之反應系,不會大大依存其成膜時間而能顯現ZrBx Ny 膜之電阻率之基材選擇性,且能擴大其成膜條件之範圍。
表3中,可知比較例5與比較例6,係與實施例2相同的,ZrBx Ny 膜之薄膜電阻值為”∞”,且積層於氧化矽膜(絕緣膜)之ZrBx Ny 膜具有高絕緣性。另一方面,比較例7係表示雖在氧化矽膜上成膜,但薄膜電阻值比實施例2為低。即可知未顯現積層於氧化矽膜(絕緣膜)之ZrBx Ny 膜具有完全的絕緣性。
此外,圖9中,可知比較例7係包含濃度低於硼元素(B)的氮元素(N),且其濃度未達30%。亦即,過於升溫之條件下之成膜,係使混入ZrBx Ny 膜之氮元素之濃度下降,且成為阻礙ZrBx Ny 膜之絕緣性顯現之主因。
因而,ZrBx Ny 膜混入充分量的氮元素之溫度,並且 ZrBx Ny 膜所含之各種的結合藉由熱能穩定化之溫度,作為成膜溫度較佳。亦即,未達260℃之溫度範圍,較佳為180℃~250℃之溫度條件,係可使ZrBx Ny 膜之電阻率之基材選擇性更加確實,且能確保ZrBx Ny 膜之熱的穩定性。
表4中,可知比較例8,係與實施例2相同,ZrBx Ny 膜之薄膜電阻值為”∞”,且積層於氧化矽膜(絕緣膜)之ZrBx Ny 膜具有高絕緣性。亦即,藉由實施例1及實施例2之成膜條件獲得之ZrBx Ny 膜,係即使其成膜壓力變低時,亦會顯現電阻率之基材選擇性。
因而,Zr(BH4 )4 與經激發之氮氣(N2 氣體)之反應系,除形成具有基材選擇性之ZrBx Ny 膜外,能確保較廣的壓力範圍,且能擴大其成膜條件之範圍。
表4中,可知比較例9,係與實施例2相同,ZrBx Ny 膜之薄膜電阻值為”∞”,且積層於氧化矽膜(絕緣膜)之ZrBx Ny 膜具有高絕緣性。另一方面,比較例10係表示雖在氧化矽膜上成膜,但薄膜電阻值比實施例2為低。即,可知未顯現積層於氧化矽膜(絕緣膜)之ZrBx Ny 膜具有完全的絕緣性。
因而,藉由使用經激發之氮氣(N2 氣體),使混入ZrBx Ny 膜之氮元素之濃度增加,對ZrBx Ny 膜,能誘發其絕緣性之顯現。
第一實施形態之半導體裝置1之製造裝置及製造方法具有以下優點。
(1)在矽基板2之表面形成第2層間絕緣膜11與第1 配線13、或第2配線34與硬遮罩32,且將該矽基板2搬送至反應室S。將經微波激發之N2 氣體導入至反應室S。然後,將包含Zr(BH4 )4 之Ar氣體作為Zr(BH4 )4 氣體導入至反應室S。然後,藉由Ar氣體,將收容在供應槽T之Zr(BH4 )4 產生氣泡,將包含Zr(BH4 )4 之Ar氣體作為Zr(BH4 )4 氣體導入反應室S。
因而,能藉由Zr(BH4 )4 與經激發之氮氣(N2 氣體)之反應系,產生由ZrBx Ny (x包含0)構成之第1及第2金屬蓋層16、37。其結果,第1及第2金屬蓋層16、37係不會受到基材金屬層之粗密、表面積、形狀等的影響,僅在對應金屬層之區域顯現導電性,且避免相鄰接之金屬層間之短路。又,由於第1及第2金屬蓋層16、37不需要其成膜選擇性,因此能省卻複雜的洗淨步驟。再者,對第1及第2金屬蓋層16、37,能建構避免產生顆粒之反應系。因此,能提高第1及第2金屬蓋層16、37之可靠性與生產性。
(2)在反應室S的外側對N2 氣體照射微波,將經激發之N2 氣體導入至反應室S之內部。因而,相較於在反應室S將N2 氣體激發之情況,能僅供應反應所需的量之經激發之N2 氣體。其結果,能使Zr(BH4 )4 與經激發之N2 氣體之反應系更穩定。並且,於激發N2 氣體之際,能避免矽基板2之損傷。
(3)成膜ZrBx Ny 時,將矽基板2加熱至210℃~240℃。因而,對第1及第2金屬蓋層16、37之導電性,更確實顯現基材依存性,並且能形成熱穩定之ZrBx Ny 膜。
(第二實施形態)
以下依據圖10~圖12說明本發明第二實施形態之製造方法。第二實施形態係將第一實施形態中之ZrBx Ny 膜之成膜條件由CVD法變更為ALD法者。因此,以下說明ZrBx Ny 膜之成膜條件。
成膜裝置40之控制部51係與第一實施形態同樣地,由輸出入部52接收成膜條件資料Id。控制部51係透過排氣系統驅動電路53,來驅動排氣泵45使反應室S減壓至既定的到達壓力(例如1[Pa])。控制部51使反應室S減壓後,透過搬送系統驅動電路54來驅動搬送系統,並將負載鎖緊室40L之矽基板2搬送至成膜室40D。
控制部51係使矽基板2升溫至既定溫度後,驅動排氣系統驅動電路53、流量控制器驅動電路55及微波電源驅動電路56,以進行對應成膜條件資料Id之各處理步驟。
亦即,圖10中,控制部51係開始成膜製程時,透過驅動流量控制器驅動電路55驅動流量控制器MC1,將既定流量之Zr(BH4 )4 氣體導入至反應室S,並開始吸附步驟。例如,控制部51係藉由流量控制器MC1將100[sccm]之Ar供應至供應槽T,且將包含Zr(BH4 )4 之Ar氣體即Zr(BH4 )4 氣體導入至反應室S。
此處,將Zr(BH4 )4 氣體導入至反應室S之期間稱為吸附期間Ta。吸附期間Ta係依據預先試驗等加以設定。詳言之,吸附期間Ta,係設定為Zr(BH4 )4 (吸附分子MA)遍及矽基板2之表面整體形成單分子層之期間(例如1秒~5 秒)。
圖11中,導入至反應室S之Zr(BH4 )4 (吸附分子MA),係藉由與矽基板2之表面之較強的相互作用而吸附於該表面。亦即,Zr(BH4 )4 係對矽基板2之表面,具有發揮以物理性或化學性吸附之吸附分子MA之功能,且遍及該表面整體形成單分子層。
圖10中,控制部51係當用以導入吸附分子MA之製程時間僅經過吸附期間Ta時,透過流量控制器驅動電路55驅動流量控制器MC1,停止供應Zr(BH4 )4 氣體而完成吸附步驟。
控制部51係完成吸附步驟後,驅動流量控制驅動電路55驅動流量控制器MC4,將既定流量之N2 氣體供應至反應室S。此外,控制部51係透過微波電源驅動電路56驅動微波電源FG,將經激發之N2 氣體供應至反應室S而開始改質步驟。
此處,將藉由微波源48振盪微波之期間稱為改質期間Tr。改質期間Tr係依據預先試驗等加以設定。詳言之,改質期間Tr,係設定為將吸附分子MA之單分子層氮化而產生ZrBx Ny 之單分子膜之期間(例如1秒~10秒)。
圖12中,經激發之N2 氣體(改質氣體R),係促進Zr(BH4 )4 (吸附分子MA)之分解反應。詳言之,改質氣體R係利用吸附於矽基板2表面之所有的吸附分子MA,產生分解生成物與副生成物BP(例如硼化氫),促進分解生成物之氮化反應以產生氮化物MP。亦即,經激發之N2 氣體(改 質氣體R)係遍及矽基板2之表面整體,產生ZrBx Ny 之單分子膜ML。
圖10中,控制部51係振盪微波之製程時間僅經過改質期間Tr時,透過流量控制器驅動電路55驅動流量控制器MC4,來停止供應N2 氣體。又,控制部51係透過微波電源驅動電路56來停止振盪微波。亦即,結束改質步驟。
控制部51係結束改質步驟後,再次透過流量控制器驅動電路55驅動流量控制器MC1,使既定之流量的吸附分子MA導入反應室S。亦即,再次開始吸附步驟。之後同樣地,控制部51係交互反覆上述吸附步驟與上述改質步驟,依序積層由氮化物MP構成之單分子膜ML。
藉此,成膜裝置40係能使以ZrBx Ny (x係包含0)為主成分之金屬蓋層16、37,以單分子膜逐一重疊而形成。因此,金屬蓋層16、37能確實延續基材之資訊(亦即基材是否為導電膜)。
第二實施形態之製造方法係具有以下優點。
(1)僅在吸附期間Ta之期間將Zr(BH4 )4 氣體導入反應室S,在第2層間絕緣膜11的表面與第1配線13的表面、或硬遮罩32的表面與第2配線34的表面,形成由Zr(BH4 )4 (吸附分子)構成之單分子膜。然後,於吸附期間Ta之期間經過後,僅於改質期間Tr之期間將經激發之N2 氣體導入反應室S,利用吸附分子MA產生ZrBx Ny 之單分子膜ML。
因而,能將以ZrBx Ny 為主成分之金屬蓋層16、37,逐一重疊單分子膜。因此,能確實延續金屬蓋層16、37 分別對應之基材資訊亦即基材是否為導電膜。其結果,僅於金屬蓋層16、37分別對應第1配線13及第2配線34之區域具有導電性,能更確實避免相鄰接之第1配線13間及相鄰接之第2配線34間之短路。
此外,上述實施形態亦可用以下之態樣實施。
(a)第二實施形態中,僅在改質步驟之期間導入經激發之N2 氣體。並非限定於此,例如,如圖13所示,在改質步驟與吸附步驟兩者導入經激發之N2 氣體亦可。亦即,在導入吸附分子MA時,導入經激發之N2 氣體亦可。根據此構成,能同時進行Zr(BH4 )4 氣體與經激發之N2氣體之氣相反應,及Zr(BH4 )4 之吸附反應。結果,能使相當於吸附分子MA之未反應部份之Zr(BH4 )4 ,或相當於氣相反應之未反應部份之Zr(BH4 )4 更確實設為ZrBx Ny ,且能形成更均一的Zr-N鍵。
(b)第一及第二實施形態中,將金屬層具體化為第1配線13與第2配線34。未限定於此,例如,將金屬層具體化為電容元件之電極及電感元件亦可。
(阻障膜之形成方法)
以下參照圖14~圖17說明本發明之阻障膜之形成方法。
本發明之阻障膜,係在具有形成有孔及溝渠(配線槽)之絕緣膜之成膜對象物之表面上,形成ZrBN膜。較佳係該ZrBN膜之形成步驟,包含使藉由激發機構使作為原料氣體之Zr(BH4 )4 氣體(含鋯氣體)、與作為反應氣體之N2 氣 體(含氮氣體)激發而得之氣體反應,形成ZrBN膜。
作為一例,成膜對象物係為形成有圖17(a)(與圖18(a)相同)所示之孔256及配線槽257之基板200。
本發明之阻障膜之形成方法,可利用CVD (Chemical vapor deposition)法或ALD (Atomic layer deposition)法。
CVD法之情況,原料氣體係對例如粉體狀之200g的Zr(BH4 )4 ,供應10~2000sccm之氣泡氣體(例如Ar氣體)而得。又,反應氣體之流量為10~2000sccm較佳。反應氣體較2000sccm多時,藉由激發機構激發反應氣體時,反應氣體容易反活化,而少於10sccm時,反應無法進行而不能成膜。
又,將吸附步驟與反應步驟(本說明書係稱為改質步驟)反覆數次~數百次而形成膜之ALD法中,係例如吸附步驟中的原料氣體對粉體狀之200g的Zr(BH4 )4 ,供應10~2000sccm之氣泡氣體(例如Ar氣體)而得。又,反應步驟中的反應氣體之流量為10~2000sccm較佳。反應氣體較2000sccm多時,藉由激發機構激發反應氣體時,反應氣體容易反活化,而少於10sccm時,反應無法進行而不能成膜。
作為激發機構,若為能激發反應氣體之機構,無論何種機構皆可。例如,藉由電漿激發機構,產生電漿,使反應氣體接觸該電漿而激發反應氣體亦可。
利用上述CVD法或ALD法將ZrBN膜成膜時,形成在導電性膜上之ZrBN膜係顯現導電性之性質,形成在絕 緣膜上之ZrBN膜係顯現絕緣性之性質。此時,成膜時之基板溫度係未達260℃較佳。若為該溫度範圍,藉由使Zr(BH4 )4 氣體與透過激發機構激發之N2 氣體反應獲得之ZrBN膜,係對應如上述之基材層具有其物性(即導電性或絕緣性)變化之選擇性。使用上述之ZrBN膜做為阻障膜時,製作多層配線構造時,無須如圖18(e)所示將阻障膜去除。
以下,使用圖14說明用以實施如上述ZrBN膜之成膜方法之成膜裝置的一例。
成膜裝置,係蓮蓬頭構造71由設在天板部之成膜室72構成。較佳在成膜裝置設置反應氣體之激發機構。作為反應氣體之激發機構可舉出由電漿之電漿激發機構,或由觸媒金屬之觸媒激發機構。圖14所示之成膜裝置係舉例來說,供應微波而形成電漿之電漿激發機構設在蓮蓬頭構造71。蓮蓬頭構造71係具備:位在成膜裝置的上部之同軸型共振腔81;設在同軸型共振腔81的下方之反應氣體導入室82;設在反應氣體導入室82的下方之蓮蓬頭部83;以及連接於同軸型共振腔81之微波供應機構84。又,在蓮蓬頭構造71設有導入反應氣體之反應氣體導入機構85、及導入原料氣體之原料氣體導入機構86。
同軸型共振腔81係以例如銅製或鋁製形成。在該同軸型共振腔81,係以貫穿腔之頂壁與底壁的方式而設有非金屬管111。在該非金屬管111之上端部係連接有反應氣體導入機構85之氣管151,該氣管151係透過流量控制機構152連接於未圖示之氣體源。此外,反應氣體導入機構85 係不僅只導入N2 氣體,較佳係按照製程而能導入兩種以上之氣體。作為一例,如圖14所示,使反應氣體導入機構85之氣管151在途中分支,在連接於未圖示之個別的氣體源之2支以上之氣管分別設流量控制機構152亦可。此時,藉由個別的流量控制機構152能導入兩種以上之氣體。作為非金屬管111係能使用石英管、藍寶石管或鋁管,但為更減少顆粒,較佳係使用藍寶石管或鋁管。
在該非金屬管111之上部,以覆蓋其周圍的方式,設有可移動自如之同心圓狀之上部導體112,。在該上部導體112之下方,同軸型共振腔81之底壁作為下部導體113。非金屬管111露出之露出部111a位在該等兩個導體112、113間,對該露出部111a照射微波。此外,圖14中,將下部導體113作為另一構件設在同軸型共振腔81,以取代使同軸型共振腔81之底部作為下部導體113。
為了在非金屬管111之露出部111a的區域產生電漿,微波供應機構84,係於同軸型共振腔81之側壁面,設在與露出部111a對應之位置。該微波供應機構84係由:振盪微波之磁控管141;用以使該磁控管141作動之微波電源142;連接於磁控管141,將具有自磁控管141振盪之共振頻率(例如2.45GHz)之微波供應至同軸型共振腔81之天線143;以及連接天線143與磁控管141之同軸電纜144所構成。微波電源142作動時,自磁控管141振盪微波,該微波通過同軸電纜144,到達設在同軸型共振腔81之壁面之天線143。又,當自天線143將微波供應至同軸型共 振腔81內時,由非金屬管111的上部導入之反應氣體,於露出部111a之區域變化為電漿狀態,該電漿化之氣體係自作為氣體流路之非金屬管111的下部供應至反應氣體導入室82。如上述,圖14之成膜裝置中,由於未設用以傳送微波之導波管,因此振盪微波時,馬上能產生電漿。此外,圖14中,天線143未限定為一根,亦可為兩根以上。又,如上述所示上部導體112係可移動,因此改變其位置,來改變上部導體112與下部導體113之間之電場產生狀態,藉此亦可改變電漿之產生狀態。
但是,通常,於電漿產生空間產生電漿時,電漿產生空間之電場分布變化而共振頻率會變化,且電漿之產生效率將惡化。此時,調整微波供應機構時,在微波振盪與電漿產生之間產生時間遲滯。
因此,圖14之成膜裝置中,同軸型共振腔81內之高度L設定為激勵波長之1/2的整數倍,以使在電漿產生之前後,共振頻率不會變化。詳言之,同軸型共振腔81之電場分布係在電漿產生前,形成TM模式,相對於此,電漿產生後形成TEM模式。有鑑於此,從各模式中的電氣等價電路求出電漿產生前後之各共振頻率,並計算高度L使該等之振盪頻率相等。藉由上述構成,在電漿產生前後,可抑制共振頻率之變化。
即使如上述設定同軸型共振腔81內之高度L,亦會有電漿產生後腔內之頻率有一些變動之情形。因此,較佳在第1蓮蓬頭構造71之微波供應機構84,設激磁電流控制 電路。該控制電路係監控在同軸型共振腔81內之電漿產生前後之頻率,在該頻率變化時,將對應變化份之電流作為激磁電流送往磁控管141內之未圖示的激磁線圈,藉此使微波之波長維持一定。
又,在同軸型共振腔81內之頻率已變化之狀態下,振盪微波時,會有在電漿產生室內部產生反射波之情形。因而,藉由檢測該反射波,並將相當於該檢測出之反射波、與經振盪之微波的進行波之相位差之電壓,重疊施加在磁控管141內之陽極電極,設使微波之頻率作用為接近共振頻率之陽極電壓控制電路亦可。此時,反射波係於微波供應機構轉變為熱,因此必須注意陽極電壓控制電路不會因反射波所引起之熱而受到損傷。再者,在下部導體113之中,設相當於振盪波長的四分之一的長度之活門構造亦可。此時,能抑制自露出部111a所洩漏之微波。
如上述,藉由將同軸型共振腔81內之高度L設定為激勵波長的1/2之整數倍,能使蓮蓬頭構造71振盪固定的共振頻率。又,設激磁電流控制電路及陽極電壓控制電路,藉此在電漿產生前後假設共振頻率有偏移,亦能將頻率自動的匹配。再者,圖14之成膜裝置係由於在微波振盪與電漿產生之間未發生時間遲滯,因此能由極短的間隔,例如0.5秒左右控制電漿之產生。
在該同軸型共振腔81之非金屬管111內藉由電漿激發之反應氣體,係經過反應氣體導入室82,導入至蓮蓬頭部83。反應氣體導入室82較佳為例如鋁製,且在其內壁為 防止顆粒產生而設置石英製內襯。此時,如圖14中所示,亦可在氣體導入室82之內壁的下方區域(蓮蓬頭部83側)設置石英製內襯121。較佳在氣體導入室82之內壁全面設置石英製內襯。又,亦可將反應氣體導入室82之內壁表面進行氧皮鋁(alumite)加工,以維持自由基狀態之氣體。
又,反應氣體導入室82係藉由未圖示之冷卻機構,予以冷卻亦可。在反應氣體導入室82與蓮蓬頭部83之間,係在該等設有用固定具123及124固定之陶瓷凸緣122(例如厚度10mm)。該陶瓷凸緣122係用以阻絕熱而設置,以使反應氣體導入室82不會因蓮蓬頭部83之熱受到加熱,且為確保真空密封性、耐熱性、熱阻絕性,較佳為鋁製陶瓷。
蓮蓬頭部83係由圓盤狀構件83a,環狀構件83b、第1噴淋板83c、及第2噴淋板83d構成,且以固定具123加以適當固定。較佳在圓盤狀構件83a設有未圖示之加熱器及熱電偶。加熱器係構成為將蓮蓬頭部83加熱至既定溫度(例如150℃左右),用熱電偶測定該經加熱之溫度,且能監控之狀態。
又,在圓盤狀構件83a形成有與反應氣體導入室82連通之開口部。由該圓盤狀構件83a之開口部與環狀構件83b之開口部構成反應氣體擴散室131,將反應氣體導入該反應氣體擴散室131內部並加以擴散。在反應氣體擴散室131之內壁整體設有石英製內襯,在其底面形成有複數個反應氣體噴出孔132。該反應氣體噴出孔132係貫穿第1噴淋 板83c及第2噴淋板83d,到達蓮蓬頭部83之底面。
再者,在圓盤狀構件83a設有連接於原料氣體導入機構86之原料氣體導入管133。此處,原料氣體導入機構86係將原料裝入冷卻套161所覆蓋之原料槽162內,使用例如低差壓流量控制器之流量控制器163,一邊直接控制作為氣泡氣體之Ar、N2 等之惰性氣體之流量,一邊使該惰性氣體產生氣泡而昇華,藉以獲得原料氣體。將該原料氣體導入原料氣體導入管133。藉由冷卻套161將原料槽162冷卻至0℃並保溫時,原料氣體之蒸氣壓為3.7mmHg,因此使室壓力降低至低於3.7mmHg。
原料氣體導入管133係透過氣體通路134,即,如圖15(a)~(b)所示,設在環狀構件83b的外周部之氣體通路134a、及設在第1噴淋板83c的外周部之氣體通路134b,連接於形成在第2噴淋板83d之原料氣體擴散室135。該氣體通路134係構成為1以上之多段,各段係具有以2n-1 (n係段數)所表示之數量之氣體通路(圖15中,第1段之氣體通路134a及第2段之氣體通路134b)。再者,在多段構成之情況,氣體通路134,構成為自連接原料氣體導入管133與第1段之氣體通路134a之第1連接孔之位置,到連接最終段之氣體通路134b與原料氣體擴散室135之複數個第2連接孔之位置為止之距離完全相等。使用圖15及圖16詳細說明該氣體通路134。圖15(a)~圖15(c)係分別表示環狀構件83b、第1噴淋板83c、及第2噴淋板83d之橫截面圖。圖16係用以說明原料氣體導入管133、氣體通路134 及原料氣體擴散室135之配置關係的截面圖。
氣體通路134係由以圓弧狀設在環狀構件83b之一條氣體通路134a、及以圓弧狀設在第1噴淋板83c之兩條氣體通路134b構成。兩條氣體通路134b係配置在形成有反應氣體噴出孔132之第1噴淋板83c上的區域之週邊部。氣體通路134a之中央上部係連接於氣體導入管133。而且,在氣體通路134a的兩端之底部形成有連接孔134c,且該兩個連接孔134c係連接在設於第1噴淋板83c之兩條氣體通路134b之中央上部。因而,氣體通路134a與氣體通路134b係相連通。
又,在各氣體通路134b的兩端之底部係形成有連接孔134d。該氣體通路134b之連接孔134d(亦即四個連接孔134d),係連接在設於第2噴淋板83d之原料氣體擴散室135的四個角落之上部。因而,原料氣體係透過連接孔134d,均一地噴出至原料氣體擴散室135。
如上述,連接原料氣體擴散室135與原料氣體導入室133之氣體通路134係兩段構造。亦即,第1段氣體通路134a與第2段氣體通路134b,係構成為將原料氣體自原料氣體導入管133引導至原料氣體擴散室135之一條氣體流路。該氣體通路134,係構成為自原料氣體導入管133至四個連接孔134d之任一距離皆相等,因此透過四個連接孔134d,同量的原料氣體係同時到達原料氣體擴散室135。因而,能將原料氣體均一地擴散至原料氣體擴散室135。此外,圖15中,氣體通路並不限定於兩段構造之氣體通 路134,為三段構造亦可。又,最終段的氣體通路之連接孔134d的數量係不限於四個,為五個以上亦可。例如,具有與第1噴淋板83c相同的反應氣體噴出孔132,並且將具有四個氣體通路之第3噴淋板,設在第1噴淋板83c與第2噴淋板83d之間。將第1噴淋板83c之各連接孔134d連接於該第3噴淋板之四條氣體通路的各個中央上部。再者,在該第3噴淋板之各氣體通路的兩端部,形成與第2噴淋板83d之原料氣體擴散室135相通之連接孔。亦即,於第3噴淋板設8個連接孔。此時,配合8個連接孔之位置來設計原料氣體擴散室135之形狀,以使原料氣體更均等地擴散於原料氣體擴散室135內。又,圖15中,原料氣體擴散室135係形成為四角形,但為圓形或其他多角形亦可。
如圖15(c)所示,原料氣體擴散室135設有複數個原料氣體噴出孔136,該原料氣體噴出孔136亦貫穿至蓮蓬頭部83之底面。此時,為使原料氣體均一地噴出至真空室(反應室)內,較佳使噴出孔136之傳導縮小。例如,圖14及圖15之成膜裝置中,使原料氣體噴出孔136形成孔徑Φ0.7~1mm程度、孔深度10mm程度,構成為能使原料氣體均一地供應至真空室內。
如上述,反應氣體噴出孔132係貫穿到蓮蓬頭部83之底面。並且,在蓮蓬頭部83之底面,反應氣體噴出孔132與原料氣體噴出孔136分別隔著一定的距離並列成矩陣狀。藉此,將原料氣體及反應氣體均衡地照射於基板上。 原料氣體噴出孔136之中心間距離與反應氣體噴出孔132之中心間距離,係設定為相同距離(例如14mm)。此時,反應氣體噴出孔132之直徑係大於原料氣體噴出孔136之直徑,例如,將原料氣體噴出孔136之直徑設為1mm,則反應氣體噴出孔132之直徑係為5mm。
如上述構成之蓮蓬頭部83中,自反應氣體導入機構85,經過非金屬管111、反應氣體導入室82而導入至蓮蓬頭部83之反應氣體,係擴散於反應氣體擴散室131整體,進一步經過各反應氣體噴出孔132,供應至成膜室72(反應室)內。又,自原料氣體導入管133導入之原料氣體,係自氣體通路134a之中心部導入至氣體通路134,且在該氣體通路134a內左右均等地擴散。接著,原料氣體係透過兩個連接孔134c,導入至下段之兩個氣體通路134b,在該氣體通路134b內左右均等地擴散。最後,原料氣體係自四個連接孔134d均一地擴散至原料氣體擴散室135,再自原料氣體擴散室135底面之各原料氣體噴出孔136,均一地供應至成膜室72內。
如圖14所示,在與成膜室72與蓮蓬頭構造71相對向之位置,係以升降自如的方式設有裝載基板200之裝載台91。該裝載台91設有能將裝載於裝載台91上之基板200加熱至既定溫度之加熱機構(未圖示)。在成膜室72之側壁部設有屏蔽92,該屏蔽係用以防止原料氣體附著於成膜室72之內壁面而產生顆粒。又,在成膜室72之側壁部設有於成膜時,用以測定成膜室72內的壓力之壓力計93。再 者,在成膜室72之底部,係設有可將成膜室72內之氣體自底部排氣之渦輪分子泵94。
但是,使用圖14所示之成膜裝置,處理極多量的基板以進行成膜時,非金屬管111之內側會有被蝕刻之情形。因此,為抑制蝕刻,成膜裝置較佳係具有用以使同軸型共振腔81內冷卻之冷卻氣體導入機構。此時,非金屬管111較佳為具有用以供冷卻用流體流通之流路之非金屬(例如氧化鋁或石英)製之兩重管。
此時,冷卻用流體係必須為未與微波之振盪頻率共振之流體介質,例如振盪頻率為2.45GHz時,能使用3M公司製/商品名Fluorinert(註冊商標)之FC-87、FC-72、FC-84、FC-77、FC-75、FC-3283、FC-40、FC-43、FC-70、FC-5312,或阿屋吉蒙特索西耶塔佩爾阿奇歐尼(Ausimont Societa Per Azioni)公司製/商品名GALDEN(註冊商標)。亦能使用乙二醇或以乙二醇為主體之液體介質。再者,亦能使用乾N2 氣體、乾Ar氣體、乾He氣體、及乾O2 氣體等之氣體。
上述中雖說明使用電漿產生機構之裝置,但在反應氣體之流路,設置與加熱機構連接之由觸媒金屬構成之金屬線亦可。此時係使反應氣體接觸經加熱之金屬線,使反應氣體激發。又,上述中,雖電漿產生機構設在蓮蓬頭構造71,但例如在成膜室72內產生電漿,且在成膜室72內使反應氣體電漿化亦可。
以下說明使用圖14所示之成膜裝置之CVD法。
將基板200裝載於裝載台91,藉由設於裝載台91之 未圖示的加熱機構,將裝載台91上之基板200加熱。此時將裝載台91之設定溫度設定為未達260℃。接著,自反應氣體導入機構85,將例如200sccm之氫氣一邊導入一邊調節渦輪分子泵94之壓力閥,將成膜室72內之壓力升壓至400Pa,並將其保持數分鐘。在該升壓及保持之期間,若將基板200充分加熱並將基板溫度設定為200℃以上時,亦有能將第1Cu膜251(圖17(a))之表面還原之效果。此時,尤其為250℃以上較佳。
以未達260℃、較佳以200~250℃之設定溫度,將基板200加熱後,停止供應氫氣,自成膜室72內將氫氣排氣。此外,此時,基板溫度係低於設定溫度數℃~十數℃。接著,將成膜室72內之壓力設定為700Pa,自反應氣體導入機構85將作為反應氣體之N2 氣體,以10~2000sccm之條件導入至非金屬管111(或2重管)。同時,藉由微波供應機構84,將導通功率設為0.1~5kW(較佳係0.5kW),自磁控管141振盪微波。微波係通過同軸纜線144傳送至天線143,供應至同軸型共振腔81內。結果,N2 氣體於露出部111a之區域變化為電漿狀態,將電漿化之N2 氣體供應至反應氣體導入室82。
接著,對例如原料槽162內之200g的原料Zr(BH4 )4 ,導入100sccm之Ar氣體即氣泡氣體。然後,藉由Ar氣體使原料產生氣泡而獲得Zr(BH4 )4 氣體,即將原料氣體自原料氣體導入管133導入至成膜室72內。以既定之成膜時間(例如2分鐘)進行成膜後,停止供應原料氣體。停止供 應微波,且亦停止供應N2 氣體,之後,自成膜室72內將氣體排氣。
ALD法之情況,除交互進行反應氣體(改質氣體)與原料氣體之供應的時序外,與CVD法之情況係相同。詳言之,在將成膜室72內之壓力設定為700Pa後,首先進行吸附步驟。吸附步驟中,對例如原料槽162內之200g的原料Zr(BH4 )4 ,導入100sccm之Ar氣體即氣泡氣體。然後,藉由Ar氣體使原料產生氣泡而獲得Zr(BH4 )4 氣體,即將原料氣體自原料氣體導入管133導入至成膜室72內。以既定的時間進行吸附步驟,使原料Zr(BH4 )4 吸附於成膜對象物後,停止供應原料氣體。接著,進行反應步驟(改質步驟)。反應步驟中,自反應氣體導入機構85將作為反應氣體之N2 氣體,以10~2000sccm之條件導入至非金屬管111(或2重管)。同時,藉由微波供應機構84,將導通功率設為0.1~5kW(較佳係0.5kW),自磁控管141振盪微波。微波係通過同軸纜線144傳送至天線143,供應至同軸型共振腔81內。結果,N2 氣體於露出部111a之區域變化為電漿狀態,將電漿化之N2 氣體供應至反應氣體導入室82。接著,停止供應微波,亦停止供應N2 氣體。之後,再次進行吸附步驟,反覆吸附步驟與反應步驟直到ZrBN膜到達所期望之厚度為止。此外,上述中依每一反應步驟停止供應微波,但透過吸附步驟及反應步驟持續供應微波亦可。此時,如上述所示,在導電性材料上成膜之部份中,ZrBN膜亦顯現導電性之性質。在絕緣性材料上成膜之部份中, ZrBN膜亦顯現絕緣性之性質。
以下,使用圖17來說明使用該ZrBN膜作為阻障膜之多層配線構造。圖17(a)~(d)係用以說明多層配線構造之製作步驟之多層配線構造的截面圖。與圖18(a)~(d)所示之構成要件相同之構成要件係以相同符號表示。
首先,如圖17(b)所示,對圖17(a)所示之成膜對象物(與圖18(a)相同者),利用上述之CVD法或ALD法,將ZrBN膜241作為阻障膜以3~20nm進行成膜。
接著,如圖17(c)所示,藉由電鍍法等形成Cu配線層259,以埋設形成有ZrBN膜之孔256及配線槽257,並且覆蓋第2氧化矽膜255之平坦部551上。接著,如圖17(d)所示,藉由CMP對該Cu膜259表面進行表面平坦化處理,將未埋入配線槽257,即配線槽257上方之多餘的Cu配線材料進行研磨。ZrBN膜241係無去除之必要。因而,本發明之多層配線構造係比使用習知Ta膜作為阻障膜之情況,能更簡單的製作。又,所獲得之多層配線構造係於絕緣膜上未殘留阻障膜,因此性能不易惡化。
以下,藉由實驗例,說明本發明之阻障膜(ZrBN膜)之物性。
(實驗例1)
對例如原料槽162內之200g的原料Zr(BH4 )4 導入100sccm之Ar氣體。藉由該Ar氣體使原料產生氣泡而獲得Zr(BH4 )4 氣體,即原料氣體。將微波功率設定為500W、將成膜壓力設定為700Pa。將其他條件作為以下所示之C1 ~C5條件,在SiO2 膜上形成ZrBN膜。藉由SEM確認ZrBN膜之膜厚,再測定薄膜電阻值及比電阻。下述條件中,H2 氣體、N2 氣體及NH3 氣體係指反應氣體。
C1條件:H2 氣體100sccm、成膜時間2分鐘、裝載台溫度240℃
C2條件:N2 氣體100sccm、成膜時間2分鐘、裝載台溫度260℃
C3條件:NH3 氣體100sccm、成膜時間2分鐘、裝載台溫度240℃
C4條件:N2 氣體100sccm、成膜時間8分鐘、裝載台溫度240℃
C5條件:N2 氣體100sccm、成膜時間2分鐘、裝載台溫度240℃
C6條件:N2 氣體100sccm、成膜時間8分鐘、裝載台溫度210℃
C7條件:N2 氣體100sccm、成膜時間8分鐘、裝載台溫度230℃
將結果表示於表5。此外,各單位係膜厚為nm、薄膜電阻值為Ω/□、比電阻為μΩcm。
(實驗例2)
在Cu膜上,用與實施例1中的條件C4及C7相同條件形成ZrBN膜,分別作為條件C8及C9。藉由SEM確認所獲得之ZrBN膜之膜厚,此外,測定所獲得之ZrBN膜之薄膜電阻值及比電阻。將結果表示於表6。
將實驗例1之條件C4及C7與實驗例2之條件C8及C9比較時,可知ZrBN膜之物性藉由基材層產生變化。亦即,下層膜為絕緣物之SiO2 膜時,ZrBN膜係作為絕緣膜,另一方面,下層膜為導電性之Cu膜時,ZrBN膜係作為導電膜。如上述ZrBN膜之選擇性係從條件C6明顯可知,未依存膜厚。又,由條件C2可知,溫度為260℃時,如上述之選擇性不會出現。再者,由條件C1及C3可知,N2 氣體以外之氣體係不會出現該選擇性。
(實驗例3)
藉由歐傑分析確認藉由實驗例1之條件C4及實驗例2之條件C8分別形成之ZrBN膜之組成。將結果表示於表7。
由表7可確認所獲得之ZrBN膜之組成為相同,且組成不會因下層膜而改變。
本發明之阻障膜之形成方法係具有以下優點。
(1)利用CVD法及ALD法,在成膜對象物之表面,形成有作為阻障膜之ZrBN膜241。該ZrBN膜241係在絕緣膜(氧化矽膜255)上係顯現絕緣性,在導電膜(Cu膜259)上係顯現導電性。因而,與習知之Ta之阻障膜不同,無須去除ZrBN膜241。結果,藉由去除阻障膜,能防止半導體裝置之特性惡化。因此,ZrBN膜241尤其可使用在半導體技術方面。
(2)以未達260℃之溫度將基板200一邊加熱,一邊形成ZrBN膜241。根據該溫度條件,形成在絕緣膜上之ZrBN膜241係顯現絕緣性。
(3)用Cu膜259埋入孔256及配線槽257後,無須去除ZrBN膜241。因此,比起將習知之Ta膜作為阻障膜使用,能縮短多層配線構造之製程。
此外,圖17所示之ZrBN膜241,亦可藉由圖3所示 之成膜裝置(40D)產生,取代圖14所示之成膜裝置。同樣地,圖1所示之金屬蓋層16、37,亦可取代圖3所示之成膜裝置(40D),而藉由圖14所示之成膜裝置產生。
1‧‧‧半導體裝置
2‧‧‧矽基板
2a‧‧‧元件分離區域
2b‧‧‧元件區域
3‧‧‧MOS電晶體
4‧‧‧閘極絕緣膜
5‧‧‧源極/汲極區域
6‧‧‧閘極
7‧‧‧側壁
8‧‧‧第1層間絕緣膜
9‧‧‧接觸孔
10‧‧‧接觸柱塞
11‧‧‧第2層間絕緣膜
12‧‧‧第1溝渠
13‧‧‧第1配線
14‧‧‧第1阻障層
15‧‧‧第1配線層
16‧‧‧第1金屬蓋層
16a‧‧‧第1導電區域
16b‧‧‧第1絕緣區域
18‧‧‧反應氣體供應機構
21‧‧‧第3層件絕緣膜
22‧‧‧溝渠蝕刻阻絕層
23‧‧‧導通孔
31‧‧‧第4層間絕緣膜
32‧‧‧硬遮罩
33‧‧‧第2溝渠
34‧‧‧第2配線
34a‧‧‧通孔接觸件
34b‧‧‧2配線部
35‧‧‧第2阻障層
36‧‧‧第2配線層
37‧‧‧第2金屬蓋層
37a‧‧‧第2導電區域
37b‧‧‧第2絕緣區域
40‧‧‧成膜裝置
40C‧‧‧中樞室
40D‧‧‧成膜室
40L‧‧‧負載鎖緊室
41‧‧‧室本體
42‧‧‧室蓋
43‧‧‧基板載台
44‧‧‧升降機構
45‧‧‧排氣泵
46‧‧‧蓮蓬頭
47‧‧‧照射管
48‧‧‧微波源
49‧‧‧導波管
51‧‧‧控制部
51A‧‧‧儲存部
51B‧‧‧計時器
52‧‧‧輸出入部
53‧‧‧排氣系統驅動電路
54‧‧‧搬送系統驅動電路
55‧‧‧流量控制器驅動電路
56‧‧‧微波電源驅動電路
71‧‧‧蓮蓬頭構造
72‧‧‧成膜室
81‧‧‧同軸型共振腔
82‧‧‧反應氣體導入室
83‧‧‧蓮蓬頭部
83a‧‧‧圓盤狀構件
83b‧‧‧環狀構件
83c‧‧‧第1噴淋板
83d‧‧‧第2噴淋板
84‧‧‧微波供應機構
85‧‧‧反應氣體導入機構
86‧‧‧原料氣體導入機構
91‧‧‧裝載台
92‧‧‧屏蔽
93‧‧‧壓力計
94‧‧‧渦輪式分子泵
111‧‧‧非金屬管
111a‧‧‧露出部
112‧‧‧上部導體
113‧‧‧下部導體
121‧‧‧石英製內襯
123、124‧‧‧固定具
131‧‧‧反應氣體擴散室
132‧‧‧反應氣體噴出孔
133‧‧‧原料氣體導入管
134、134a、134b‧‧‧氣體通路
135‧‧‧原料氣體擴散室
136‧‧‧原料氣體噴出孔
141‧‧‧磁控管
142‧‧‧微波電源
142‧‧‧天線
144‧‧‧同軸電纜
151‧‧‧氣管
152‧‧‧流量控制機構
161‧‧‧冷卻套
162‧‧‧原料槽
163‧‧‧流量控制器
200‧‧‧基板
241‧‧‧ZrBN膜
251‧‧‧第1Cu膜
255‧‧‧第2氧化矽膜
256‧‧‧孔
257‧‧‧配線槽
259‧‧‧Cu配線層
551‧‧‧平坦部
FG‧‧‧微波電源
H1‧‧‧第一氣體供應孔
H2‧‧‧第二氣體供應孔
Id‧‧‧成膜條件資料
MC1、MC2、MC3、MC4‧‧‧流量控制器
P1‧‧‧第一氣口
P2‧‧‧第二氣口
PD‧‧‧排氣口
S‧‧‧反應室
T‧‧‧供應槽
圖1係表示本發明之半導體裝置之要部截面圖。
圖2係表示用以製造圖1之半導體裝置之成膜裝置的俯視圖。
圖3係表示設在圖2之成膜裝置的成膜室之概略截面圖。
圖4係表示圖2之成膜裝置的電氣構成之方塊電路圖。
圖5係表示第一實施形態之製造步驟之時序圖。
圖6係表示實施例2之元素分析結果圖。
圖7係表示比較例1之元素分析結果圖。
圖8係表示比較例2之元素分析結果圖。
圖9係表示比較例7之元素分析結果圖。
圖10係表示第二實施形態之製造步驟之時序圖。
圖11係用以說明第二實施形態之吸附步驟之步驟圖。
圖12係用以說明第二實施形態之改質步驟之步驟圖。
圖13係表示第二實施形態之變形例的製造步驟之時序圖。
圖14係用以說明本發明之另一成膜裝置之蓮蓬頭構造之截面示意圖。
圖15(a)、(b)、(c)係分別表示設在圖14之蓮蓬頭構造 之環狀構件、第1噴淋板、及第2噴淋板之橫截面圖。
圖16係用以說明圖14之成膜裝置的原料氣體導入管、氣體通路及原料氣體擴散室之配置關係的截面圖。
圖17(a)、(b)、(c)、(d)係用以說明本發明之多層配線構造之製作步驟的截面圖。
圖18(a)、(b)、(c)、(d)、(e)係用以說明習知之多層配線構造之製作步驟的截面圖。
2‧‧‧矽基板
40D‧‧‧成膜室
41‧‧‧室本體
42‧‧‧室蓋
43‧‧‧基板載台
44‧‧‧升降機構
45‧‧‧排氣泵
47‧‧‧照射管
48‧‧‧微波源
49‧‧‧導波管
FG‧‧‧微波電源
H1‧‧‧第一氣體供應孔
H2‧‧‧第二氣體供應孔
MC1、MC2、MC3、MC4‧‧‧流量控制器
P1‧‧‧第一氣口
P2‧‧‧第二氣口
PD‧‧‧排氣口
S‧‧‧反應室
T‧‧‧供應槽

Claims (24)

  1. 一種半導體裝置之製造方法,其特徵在於具備:絕緣層步驟,在具有元件區域(2a)之半導體基板(2)積層絕緣層(11);凹部步驟,在該絕緣層形成凹部(12);金屬層步驟,在該凹部埋入金屬層(13);平坦化步驟,使該絕緣層表面與該金屬層表面平坦化為大致同一面;以及金屬蓋層步驟,於該平坦化步驟後,在該絕緣層表面與該金屬層表面,使用Zr(BH4 )4 氣體與經激發之氮氣,進行至少包含鋯元素與氮元素之金屬蓋層(16)之成膜;該金屬蓋層步驟係在反應室內實施;該金屬蓋層步驟,係包含在該反應室外側藉由微波激發該氮氣,將該經激發之氮氣與該Zr(BH4 )4 氣體供應至該反應室。
  2. 如申請專利範圍第1項之半導體裝置之製造方法,其中,該金屬蓋層步驟係包含利用CVD法進行該金屬蓋層之成膜。
  3. 如申請專利範圍第1項之半導體裝置之製造方法,其中,該金屬蓋層步驟係包含利用原子層蒸鍍法進行該金屬蓋層之成膜。
  4. 如申請專利範圍第1至3項中任一項之半導體裝置之製造方法,其中,該金屬蓋層步驟係包含將該半導體基板加熱至未達260℃以進行該金屬蓋層之成膜。
  5. 一種半導體裝置之製造裝置,其特徵在於具備:室本體(41),具有反應室;載台(43),設在該反應室且用以裝載半導體基板(2);第一供應機構,用以將Zr(BH4 )4 氣體供應至該反應室;第二供應機構,用以將經激發之氮氣供應至該反應室;以及控制機構,用以驅動該第一供應機構及該第二供應機構,以將該Zr(BH4 )4 氣體及該經激發之氮氣供應至該反應室;該控制機構係藉由該第二供應機構,將該氮氣在該反應室外側激發;使用該Zr(BH4 )4 氣體與該經激發之氮氣,在該半導體基板表面進行至少包含鋯元素與氮元素之金屬蓋層(16)之成膜。
  6. 如申請專利範圍第5項之半導體裝置之製造裝置,其中,該控制機構係驅動該第一供應機構及該第二供應機構,以使該Zr(BH4 )4 氣體及該經激發之氮氣交互供應至該反應室,在使該Zr(BH4 )4 氣體吸附於該半導體基板表面後,藉由該經激發之氮氣使該Zr(BH4 )4 氣體於該金屬蓋層改質。
  7. 一種阻障膜之形成方法,其特徵在於具備:在具有絕緣膜(253、255)之成膜對象物(200)之表面,形成作為該阻障膜之ZrBN膜(241),在該絕緣膜形成有孔(256)及配線槽(257),該ZrBN膜係分別形成在該孔及該配線槽之內面、與該絕緣膜上; 在具有該ZrBN膜之該孔及配線槽埋入銅配線層(259);以及在該絕緣膜(255)上,殘留該ZrBN膜(241)且藉由平坦化處理去除該銅配線層(259)。
  8. 如申請專利範圍第7項之阻障膜之形成方法,其係包含使用含鋯氣體與含氮氣體,利用CVD法來形成該ZrBN膜。
  9. 如申請專利範圍第7項之阻障膜之形成方法,其係包含利用僅使用含鋯氣體之吸附步驟與僅使用含氮氣體之反應步驟反覆進行之原子層蒸鍍法,來形成該ZrBN膜。
  10. 如申請專利範圍第8項之阻障膜之形成方法,其進一步具備激發該含氮氣體之步驟。
  11. 如申請專利範圍第8項之阻障膜之形成方法,其中,該含鋯氣體為Zr(BH4 )4 ,該含氮氣體為氮氣。
  12. 如申請專利範圍第7至11項中任一項之阻障膜之形成方法,其中,該成膜對象物係裝載於裝載台(91)之基板;形成該ZrBN膜,係包含以設定在該裝載台之未達260℃之設定溫度一邊加熱該基板一邊形成該ZrBN膜。
  13. 一種阻障膜之形成方法,其特徵在於具備:在具有絕緣膜(253、255)之成膜對象物(200)之表面,使用Zr(BH4 )4 氣體與經激發之氮氣,利用CVD法形成作為該阻障膜之ZrBN膜(241),在該絕緣膜形成有孔(256)及配線槽(257),該ZrBN膜係分別形成在該孔及該配線槽之內面、 與該絕緣膜上;在具有該ZrBN膜之該孔及配線槽埋入銅配線層(259);以及在該絕緣膜(255)上,殘留該ZrBN膜(241)且藉由平坦化處理去除該銅配線層(259)。
  14. 一種阻障膜之形成方法,其特徵在於具備:藉由原子層蒸鍍法,在具有絕緣膜(253、255)之成膜對象物(200)之表面形成ZrBN膜(241)作為該阻障膜,該原子層蒸鍍法係僅使用Zr(BH4 )4 氣體之吸附步驟、與僅使用經激發之氮氣之反應步驟反覆進行,在該絕緣膜形成有孔(256)及配線槽(257),該ZrBN膜係分別形成在該孔及該配線槽之內面、與該絕緣膜上;在具有該ZrBN膜之該孔及配線槽埋入銅配線層(259);以及在該絕緣膜(255)上,殘留該ZrBN膜(241)且藉由平坦化處理去除該銅配線層(259)。
  15. 一種多層配線構造之製作方法,其特徵在於具備:在基板(200)上,至少依序積層配線膜(251)、蓋層(252)、第1絕緣膜(253)及第2絕緣膜(255);形成連接於該配線膜之孔(256)、與自該第2絕緣膜之表面到該孔為止之配線槽(257);分別在該孔及該配線槽之內面與該第2絕緣膜上,形成ZrBN膜(241)作為阻障膜;在具有該ZrBN膜之該孔及配線槽埋入銅配線層 (259);以及在該第2絕緣膜(255)上,殘留該ZrBN膜(241)且藉由平坦化處理去除該銅配線層(259)。
  16. 如申請專利範圍第15項之多層配線構造之製作方法,其係包含使用含鋯氣體與含氮氣體,利用CVD法來形成該ZrBN膜。
  17. 如申請專利範圍第15項之多層配線構造之製作方法,其係包含利用僅使用含鋯氣體氣體之吸附步驟、與僅使用含氮氣體之反應步驟反覆進行之原子層蒸鍍法,來形成該ZrBN膜。
  18. 如申請專利範圍第16項之多層配線構造之製作方法,其進一步具備激發該含氮氣體之步驟。
  19. 如申請專利範圍第16項之多層配線構造之製作方法,其中,該含鋯氣體為Zr(BH4 )4 ,該含氮氣體為氮氣。
  20. 如申請專利範圍第15至19項中任一項之多層配線構造之製作方法,其中,該成膜對象物係裝載於裝載台(91)之基板,形成該ZrBN膜,係包含以設定在該裝載台之未達260℃之設定溫度一邊加熱該基板一邊形成該ZrBN膜。
  21. 一種多層配線構造之製作方法,其特徵在於具備:在基板(200)上,至少依序積層配線膜(251)、蓋層(252)、第1絕緣膜(253)及第2絕緣膜(255);形成連接於該配線膜之孔(256)、與自該第2絕緣膜之表面到該孔為止之配線槽(257); 分別在該孔及該配線槽之內面與該第2絕緣膜上,使用Zr(BH4 )4 氣體與經激發之氮氣,利用CVD法形成作為該阻障膜之ZrBN膜(241);在具有該ZrBN膜之該孔及配線槽埋入銅配線層(259);以及在該第2絕緣膜(255)上,殘留該ZrBN膜(241)且藉由平坦化處理去除該銅配線層(259)。
  22. 一種多層配線構造之製作方法,其特徵在於具備:在基板(200)上,至少依序積層配線膜(251)、蓋層(252)、第1絕緣膜(253)及第2絕緣膜(255);形成連接於該配線膜之孔(256)、與自該第2絕緣膜之表面到該孔為止之配線槽(257);利用僅使用Zr(BH4 )4 氣體之吸附步驟與僅使用經激發之氮氣之反應步驟反覆進行之原子層蒸鍍法,分別在該孔及該配線槽之內面、與該第2絕緣膜上形成ZrBN膜(241)作為阻障膜;在具有該ZrBN膜之該孔及配線槽埋入銅配線層(259);以及在該第2絕緣膜(255)上,殘留該ZrBN膜(241)且藉由平坦化處理去除該銅配線層(259)。
  23. 一種多層配線構造,其特徵在於具備:基板(200);該基板上之配線膜(251);該配線膜上之蓋層(252); 該蓋層上之第1絕緣膜(253);該第1絕緣膜上之第2絕緣膜(255),且在該蓋層及該第1絕緣膜形成有連接在該配線膜之孔(256),而在該第2絕緣膜形成有自該第2絕緣膜表面至該孔為止之配線槽(257);ZrBN膜(241),作為阻障膜,分別形成於該孔及配線槽之內面、與該第2絕緣膜上;以及銅配線層(259),埋入於具有該ZrBN膜之該孔及配線槽;在該第2絕緣膜(255)上,於殘留有該ZrBN膜(241)之狀態下去除該銅配線層(259)。
  24. 如申請專利範圍第23項之多層配線構造,其係使用申請專利範圍第15項之多層配線構造之製作方法來製成。
TW097106539A 2007-02-27 2008-02-26 半導體裝置之製造方法及半導體裝置之製造裝置 TWI392025B (zh)

Applications Claiming Priority (2)

Application Number Priority Date Filing Date Title
JP2007048065A JP2008211090A (ja) 2007-02-27 2007-02-27 半導体装置の製造方法及び半導体装置の製造装置
JP2007047944A JP2008211079A (ja) 2007-02-27 2007-02-27 バリア膜の形成方法及びバリア膜、並びに多層配線構造の作製方法及び多層配線構造

Publications (2)

Publication Number Publication Date
TW200847284A TW200847284A (en) 2008-12-01
TWI392025B true TWI392025B (zh) 2013-04-01

Family

ID=39721192

Family Applications (1)

Application Number Title Priority Date Filing Date
TW097106539A TWI392025B (zh) 2007-02-27 2008-02-26 半導體裝置之製造方法及半導體裝置之製造裝置

Country Status (5)

Country Link
US (2) US8043963B2 (zh)
KR (2) KR20110099064A (zh)
CN (2) CN102290372A (zh)
TW (1) TWI392025B (zh)
WO (1) WO2008105360A1 (zh)

Families Citing this family (15)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
EP2007175A4 (en) * 2006-03-07 2014-05-14 Univ Ryukyus PLASMA GENERATOR AND METHOD FOR PRODUCING PLASMA THEREFOR
JP5389386B2 (ja) * 2008-06-30 2014-01-15 株式会社アルバック 半導体装置の製造方法
US7776737B2 (en) * 2008-08-14 2010-08-17 International Business Machines Corporation Reliability of wide interconnects
KR101669470B1 (ko) * 2009-10-14 2016-10-26 삼성전자주식회사 금속 실리사이드층을 포함하는 반도체 소자
JP5631728B2 (ja) * 2010-12-28 2014-11-26 株式会社アルバック 絶縁膜形成方法、及び絶縁膜形成装置
JP5921977B2 (ja) * 2012-07-04 2016-05-24 株式会社アルバック バリア絶縁膜形成方法
TWI559381B (zh) * 2013-02-19 2016-11-21 應用材料股份有限公司 金屬合金薄膜的原子層沉積
US8916469B2 (en) * 2013-03-12 2014-12-23 Taiwan Semiconductor Manufacturing Company, Ltd. Method of fabricating copper damascene
US9255326B2 (en) * 2013-03-12 2016-02-09 Novellus Systems, Inc. Systems and methods for remote plasma atomic layer deposition
US9209072B2 (en) * 2013-10-25 2015-12-08 Taiwan Semiconductor Manufacturing Company, Ltd. Global dielectric and barrier layer
JP6380063B2 (ja) 2014-12-08 2018-08-29 株式会社Sumco エピタキシャルシリコンウェーハの製造方法、および、気相成長装置
JP2016149486A (ja) * 2015-02-13 2016-08-18 東京エレクトロン株式会社 絶縁膜の成膜方法及び半導体デバイスの製造方法
CN108346620A (zh) * 2017-01-23 2018-07-31 京东方科技集团股份有限公司 阵列基板及其制作方法、显示装置
JP2020033625A (ja) * 2018-08-31 2020-03-05 東京エレクトロン株式会社 成膜装置及び成膜方法
KR20200143254A (ko) 2019-06-11 2020-12-23 에이에스엠 아이피 홀딩 비.브이. 개질 가스를 사용하여 전자 구조를 형성하는 방법, 상기 방법을 수행하기 위한 시스템, 및 상기 방법을 사용하여 형성되는 구조

Citations (7)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
TW502391B (en) * 2001-09-06 2002-09-11 Taiwan Semiconductor Mfg Fabrication method for doped copper interconnect
JP2003017496A (ja) * 2001-04-27 2003-01-17 Fujitsu Ltd 半導体装置及びその製造方法
JP2004006856A (ja) * 2002-05-06 2004-01-08 Sharp Corp 金属バリア層と銅との間の密着促進剤として用いられる超薄膜タングステン金属膜および超薄膜タングステン金属膜を用いた基板に銅薄膜を密着させる方法
TW200511445A (en) * 2003-09-03 2005-03-16 Taiwan Semiconductor Mfg Co Ltd Method of multi-element compound deposition by atomic layer deposition for IC barrier layer application
TW200516711A (en) * 2003-11-13 2005-05-16 Hynix Semiconductor Inc Method of forming metal line in semiconductor device
JP2006057162A (ja) * 2004-08-23 2006-03-02 Ulvac Japan Ltd バリア膜の形成方法
US20060231017A1 (en) * 2002-04-25 2006-10-19 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods

Family Cites Families (9)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
US4239536A (en) 1977-09-09 1980-12-16 Sumitomo Electric Industries, Ltd. Surface-coated sintered hard body
US4668538A (en) * 1984-07-10 1987-05-26 Westinghouse Electric Corp. Processes for depositing metal compound coatings
JP2002043315A (ja) 2000-07-26 2002-02-08 Sony Corp 半導体装置およびその製造方法
DE10258282A1 (de) 2002-12-13 2004-07-08 Walter Ag CVD-Beschichtungsverfarhen für ZrBx CyNz-Schichten (x+y+z = 1) sowie beschichtetes Schneidwerkzeug
JP2004259753A (ja) 2003-02-24 2004-09-16 Fujitsu Ltd 半導体装置およびその製造方法
KR100543445B1 (ko) * 2003-03-04 2006-01-23 삼성전자주식회사 상변화 기억 소자 및 그 형성방법
JP4879509B2 (ja) 2004-05-21 2012-02-22 株式会社アルバック 真空成膜装置
US20060113675A1 (en) * 2004-12-01 2006-06-01 Chung-Liang Chang Barrier material and process for Cu interconnect
CN101536154B (zh) 2006-11-09 2010-08-11 株式会社爱发科 遮蔽膜的形成方法

Patent Citations (8)

* Cited by examiner, † Cited by third party
Publication number Priority date Publication date Assignee Title
JP2003017496A (ja) * 2001-04-27 2003-01-17 Fujitsu Ltd 半導体装置及びその製造方法
TW559859B (en) * 2001-04-27 2003-11-01 Fujitsu Ltd Semiconductor device and method of manufacturing the same
TW502391B (en) * 2001-09-06 2002-09-11 Taiwan Semiconductor Mfg Fabrication method for doped copper interconnect
US20060231017A1 (en) * 2002-04-25 2006-10-19 Micron Technology, Inc. Atomic layer deposition methods and chemical vapor deposition methods
JP2004006856A (ja) * 2002-05-06 2004-01-08 Sharp Corp 金属バリア層と銅との間の密着促進剤として用いられる超薄膜タングステン金属膜および超薄膜タングステン金属膜を用いた基板に銅薄膜を密着させる方法
TW200511445A (en) * 2003-09-03 2005-03-16 Taiwan Semiconductor Mfg Co Ltd Method of multi-element compound deposition by atomic layer deposition for IC barrier layer application
TW200516711A (en) * 2003-11-13 2005-05-16 Hynix Semiconductor Inc Method of forming metal line in semiconductor device
JP2006057162A (ja) * 2004-08-23 2006-03-02 Ulvac Japan Ltd バリア膜の形成方法

Also Published As

Publication number Publication date
US8043963B2 (en) 2011-10-25
WO2008105360A1 (ja) 2008-09-04
US20120031650A1 (en) 2012-02-09
CN101627459A (zh) 2010-01-13
KR20090122263A (ko) 2009-11-26
CN102290372A (zh) 2011-12-21
KR20110099064A (ko) 2011-09-05
US20100068880A1 (en) 2010-03-18
TW200847284A (en) 2008-12-01
KR101181389B1 (ko) 2012-09-19
US8367542B2 (en) 2013-02-05
CN101627459B (zh) 2012-02-29

Similar Documents

Publication Publication Date Title
TWI392025B (zh) 半導體裝置之製造方法及半導體裝置之製造裝置
US8653665B2 (en) Barrier layer, film forming method, and processing system
US7772111B2 (en) Substrate processing method and fabrication process of a semiconductor device
US8865590B2 (en) Film forming method, pretreatment device, and processing system
WO2010004998A1 (ja) 成膜方法及び処理システム
KR20220079671A (ko) 갭 충전 증착 공정
TWI445083B (zh) Insulation film formation method, the computer can read the memory media and processing system
JP5969306B2 (ja) Cu配線の形成方法
JP6117588B2 (ja) Cu配線の形成方法
JP6268008B2 (ja) Cu配線の製造方法
JP4413556B2 (ja) 成膜方法、半導体装置の製造方法
JP4960193B2 (ja) 半導体装置の製造方法及び半導体装置の製造装置
US10096548B2 (en) Method of manufacturing Cu wiring
JP2008211079A (ja) バリア膜の形成方法及びバリア膜、並びに多層配線構造の作製方法及び多層配線構造
JP2008140998A (ja) 成膜方法、成膜装置及び記憶媒体、並びに半導体装置
JP6584326B2 (ja) Cu配線の製造方法
JP2015115531A (ja) 半導体装置の製造方法
US20120211890A1 (en) Method for forming metal thin film, semiconductor device and manufacturing method thereof
JP2010010626A (ja) 半導体装置の製造装置及び半導体装置の製造方法
JP2008211090A (ja) 半導体装置の製造方法及び半導体装置の製造装置
JP2010010624A (ja) 半導体装置の製造装置及び半導体装置の製造方法
JP2010010625A (ja) 半導体装置の製造装置及び半導体装置の製造方法

Legal Events

Date Code Title Description
MM4A Annulment or lapse of patent due to non-payment of fees